喻之斌-中国科学院大学-UCAS


本站和网页 http://people.ucas.ac.cn/~0033155 的作者无关,不对其内容负责。快照谨为网络故障时之索引,不代表被搜索网站的即时页面。

喻之斌-中国科学院大学-UCAS
[中文]
[English]
招生信息
教育背景
工作经历
教授课程
专利与奖励
出版信息
科研活动
指导学生
基本信息
喻之斌 男 博导 中国科学院深圳先进技术研究院电子邮件: zb.yu@siat.ac.cn通信地址: 深圳市南山区西丽深圳大学城学苑大道1068号邮政编码: 518055
研究领域
Computer Architecture, Performance evaluation of Computer Systems, Architecture supported cloud computing, big data analysis, and edge computing.
招生信息
I am always looking for self-motivated master and doctoral students. Requirements of students:1. Good command of computer architecture, operating systems, and programming.2. Strong interests on research of computer systems.3. Deep understanding on statistics and machine learning.
招生专业
081203-计算机应用技术
招生方向
多核体系结构,通用GPU体系结构,大数据体系结构,云计算
教育背景
2003-09--2008-12 华中科技大学计算机学院 工学博士1997-09--2000-06 华中科技大学 工学硕士1990-09--1994-06 湖北工业大学 工学学士
学历
研究生
学位
工学博士
工作经历
工作简历
2012-10~现在, 中国科学院深圳先进技术研究院, 研究员 副所长2012-01~2012-05,比利时根特大学, 博士后研究员2010-11~2012-01,意大利锡耶纳大学, 博士后研究员2009-01~2010-02,美国德克萨斯大学奥斯汀分校, 访问学者2000-07~2012-09,华中科技大学, 助教,讲师,副教授,院长助理,党支部组织委员1994-07~1997-08,湖北伶俐工贸有限公司, 技术员 技术副厂长
社会兼职
2019-12-31-今,ISCA 2020, ERC成员2019-01-01-今,ICS2019, ERC 成员2017-10-06-2018-06-15,ICS2018, PC2017-06-09-2018-02-10,HPCA2018, ERC2016-03-01-今,PACT 2016, ERC2014-10-01-2015-06-16,ISCA 2015, ERC 成员2014-07-01-2015-02-28,HPCA 2015, ERC 成员2014-06-01-2014-12-31,MICRO 2014, ERC 成员2013-07-01-2014-02-28,HPCA 2014, 出版主席2012-10-01-2013-06-30,ISCA 2013, 宣传主席2012-07-01-2013-02-28,HPCA 2013, 出版主席
教授课程
计算机体系结构高等计算机体系结构计算机系统结构高等计算机系统结构云计算原理与应用
专利与奖励
1. 2014年获深圳市孔雀B类人才称号
奖励信息
(1)&nbsp中科院朱李月华优秀教师奖,&nbsp特等奖,&nbsp院级,&nbsp2019(2)&nbsp中兴通讯CoCloud云计算创新解决方案及产业化应用,&nbsp一等奖,&nbsp专项,&nbsp2014(3)&nbsp并行体系结构模拟加速论文,&nbsp三等奖,&nbsp省级,&nbsp2014(4)&nbsp最佳论文奖,&nbsp,&nbsp其他,&nbsp2011(5)&nbsp指导学生获湖北省优秀学士学位论文奖,&nbsp二等奖,&nbsp省级,&nbsp2008(6)&nbsp华中科技大学本科教学评估突出贡献奖,&nbsp特等奖,&nbsp研究所(学校),&nbsp2007(7)&nbsp华中科技大学青年教师教学竞赛一等奖,&nbsp一等奖,&nbsp研究所(学校),&nbsp2004(8)&nbsp华中科技大学教学质量奖,&nbsp二等奖,&nbsp研究所(学校),&nbsp2003(9)&nbsp华中科技大学青年教师教学竞赛奖,&nbsp二等奖,&nbsp研究所(学校),&nbsp2002
专利成果
[1] 鲁真妍, 杨永魁, 喻之斌. 基于CPU-GPU异构架构的数据处理方法、设备以及存储介质.&nbspCN202111539679.8,&nbsp2021-12-16.[2] 鲁真妍, 杨永魁, 喻之斌. 基于CPU-GPU异构架构的性能分析方法、设备以及存储介质.&nbspCN202111535943.0,&nbsp2021-12-15.[3] 李玉泽, 杨永魁, 郭伟钰, 王峥, 陈超, 喻之斌. 一种低成本低功耗脉冲神经网络加速器.&nbspCN202111538761.9,&nbsp2021-12-15.[4] 杨永魁, 鲁真妍, 喻之斌. 一种高效的零知识证明加速器及方法.&nbspCN202111538249.4,&nbsp2021-12-14.[5] 苏子浩, 陈超, 曾思棋, 杨永魁, 喻之斌. 一种基于缓存技术的有限域上的运算方法及装置.&nbspCN202111385724.9,&nbsp2021-11-22.[6] 王倩楠, 欧阳铖浩, 喻之斌. 测试依据获取方法、应用程序测试方法以及相关装置.&nbspCN:&nbspCN113110989A,&nbsp2021-07-13.[7] 曾经纬, 喻之斌. 一种基于docker的云测试方法、系统及电子设备.&nbspCN:&nbspCN112711522A,&nbsp2021-04-27.[8] 喻之斌, 林灵锋, 伍浩文. 一种基于机器学习的CPU与GPU异构SoC性能刻画方法.&nbspCN:&nbspCN109871237B,&nbsp2021-04-09.[9] 朱亮, 苏子浩, 喻之斌. 一种选取区块链基准测试程序性能评价指标的方法和系统.&nbspCN:&nbspCN112579405A,&nbsp2021-03-30.[10] 朱亮, 喻之斌. 一种在微体系结构层面表征区块链系统的方法和装置.&nbspCN:&nbspCN112579555A,&nbsp2021-03-30.[11] 王倩楠, 欧阳铖浩, 曾经纬, 喻之斌. 一种应用程序运行时性能事件的收集方法及相关装置.&nbspCN:&nbspCN112559090A,&nbsp2021-03-26.[12] 陈瑞, 杨永魁, 王峥, 郭伟钰, 辛锦瀚, 喻之斌. 一种存内计算加速器及其优化方法.&nbspCN:&nbspCN112529171A,&nbsp2021-03-19.[13] 欧阳铖浩, 王倩楠, 喻之斌. 处理器微体系结构事件的重要性确定方法、介质和设备.&nbspCN:&nbspCN112506565A,&nbsp2021-03-16.[14] 喻之斌, 郭艺津. 一种具有自适应配置生成器的调参方法和系统.&nbspCN:&nbspCN112488319A,&nbsp2021-03-12.[15] 孔子毓, 喻之斌. 一种利用强化学习进行大数据平台调参的方法和系统.&nbspCN:&nbspCN112486584A,&nbsp2021-03-12.[16] 鲁真妍, 喻之斌. 一种基于机器学习的集群配置自动优化方法和系统.&nbspCN:&nbspCN112445746A,&nbsp2021-03-05.[17] 曾经纬, 喻之斌. 一种分布式资源管理框架的多层次架构和管理方法.&nbspCN:&nbspCN112416538A,&nbsp2021-02-26.[18] 喻之斌, 曾经纬, 李乐乐, 朱亮. 基于通讯板的可移动边缘计算一体机及其应用.&nbspCN:&nbspCN112214432A,&nbsp2021-01-12.[19] 杨永魁, 陈瑞, 王峥, 陈超, 喻之斌. 一种算法与硬件协同优化的混合精度存内计算加速器.&nbspCN202011424498.6,&nbsp2020-12-08.[20] 曾经纬, 喻之斌. 容器的网络带宽的调节方法、计算机设备及可读存储介质.&nbspCN:&nbspCN111211915A,&nbsp2020-05-29.[21] 刘冬, 喻之斌, 贝振东, 须成忠. 一种云平台监控报警方法.&nbspCN:&nbspCN105812159B,&nbsp2019-06-04.[22] 曾经纬, 贝振东, 喻之斌, 须成忠. shell脚本子进程管理方法及系统.&nbspCN:&nbspCN105446805B,&nbsp2019-06-04.[23] 贝振东, 曾经纬, 喻之斌, 须成忠, 张慧玲. 一种分布式系统架构任务级参数动态调整的方法及装置.&nbspCN:&nbspCN105610621B,&nbsp2019-04-26.[24] 孔子毓, 喻之斌. 人脸识别系统及方法.&nbsp中国:&nbspCN109583421A,&nbsp2019-04-05.[25] 曾经纬, 贝振东, 喻之斌, 徐文星, 须成忠. 一种文件的共享方法、装置及系统.&nbspCN:&nbspCN105187465B,&nbsp2019-03-01.[26] 刘进, 须成忠, 孙婧, 喻之斌, 陈凯, 徐文星. 一种数据保护系统.&nbsp中国:&nbspCN103731475B,&nbsp2018.03.30.[27] 曾经纬, 贝振东, 徐文星, 林栋, 喻之斌, 须成忠. 基于android平台的APK软件自动测试方法及系统.&nbsp中国:&nbspCN105446873B,&nbsp2018-05-22.[28] 贝振东, 喻之斌, 须成忠, 曾经纬, 田盼, 张慧玲. 一种基于多阶段特征的MapReduce作业细粒度分类方法.&nbsp中国:&nbspCN104503844B,&nbsp2018-03-09.[29] 徐文星, 曾经纬, 林栋, 贝振东, 喻之斌, 须成忠. 数据展示方法及系统.&nbsp中国:&nbspCN104102734B,&nbsp2018-02-06.[30] 张洪亮, 喻之斌, 冯圣中. 基于GPGPU体系结构的协同调度方法及系统.&nbsp中国:&nbspCN104636207B,&nbsp2018-01-16.[31] 刘进, 须成忠, 孙婧, 喻之斌, 张瑞. 一种停车场车位的导航方法、装置及系统.&nbsp中国:&nbspCN104464369B,&nbsp2017.09.26.[32] 刘进, 须成忠, 孙婧, 喻之斌. 一种数据访问控制方法及系统.&nbsp中国:&nbspCN103746798B,&nbsp2017-12-26.[33] 熊文, 喻之斌, 须成忠. 一种消息传递接口广播方法及装置.&nbsp中国:&nbspCN103701621B,&nbsp2017-11-24.[34] 曾经纬, 贝振东, 喻之斌, 徐文星, 林栋, 须成忠. 一种任务作业处理方法、装置及系统.&nbsp中国:&nbspCN103744734B,&nbsp2017-09-26.[35] 杨洋, 喻之斌, 刘勇, 曾永刚, 须成忠. 基于量化标签的Yarn资源调度器.&nbsp中国:&nbspCN105677467A,&nbsp2016-06-15.[36] 杨洋, 喻之斌, 刘勇, 曾永刚, 须成忠. 基于太阳能供电的微型服务器集群的设备.&nbsp中国:&nbspCN105676985A,&nbsp2016-06-15.[37] 刘勇, 喻之斌, 须成忠. 一种异构集群的Hadoop矩阵处理方法及系统.&nbsp中国:&nbspCN105653708A,&nbsp2016-06-08.[38] 刘勇, 喻之斌, 须成忠. Hadoop的配置参数的计算方法及系统.&nbsp中国:&nbspCN105653355A,&nbsp2016-06-08.[39] 曾经纬, 贝振东, 徐文星, 喻之斌, 须成忠. 一种文件的共享方法、装置及系统.&nbsp中国:&nbspCN105282196A,&nbsp2016-01-27.[40] 熊文, 喻之斌, 须成忠, 贝振东. 一种基于移动终端的流量交换方法和系统.&nbsp中国:&nbspCN104581818A,&nbsp2015-04-29.[41] 贝振东, 须成忠, 喻之斌, 曾经纬, 徐文星, 张慧玲. 一种云端应用程序的参数配置方法及系统.&nbsp中国:&nbspCN104536808A,&nbsp2015-04-22.[42] 刘进, 须成忠, 孙婧, 喻之斌, 张瑞. 一种停车泊位导航方法及装置.&nbsp中国:&nbspCN104464350A,&nbsp2015-03-25.[43] 喻之斌, 须成忠, 卓文伟. 多核处理器软错误压力测试程序生成系统及方法.&nbsp中国:&nbspCN103902448A,&nbsp2014-07-02.[44] 熊文, 喻之斌, 须成忠, 冯圣中. 一种基于多协作者的局域网下载装置及方法.&nbsp中国:&nbspCN103856531A,&nbsp2014-06-11.[45] 贝振东, 喻之斌, 曾经纬, 张慧玲, 须成忠. 一种在线管理Hadoop集群资源的方法和装置.&nbsp中国:&nbspCN103713935A,&nbsp2014-04-09.[46] 刘进, 须成忠, 孙婧, 喻之斌, 陈凯. 一种监控视频的搜索方法及系统.&nbsp中国:&nbspCN103702086A,&nbsp2014-04-02.[47] 贝振东, 喻之斌, 曾经纬, 张慧玲, 须成忠. 一种在线配置Hadoop参数的方法和装置.&nbsp中国:&nbspCN103701635A,&nbsp2014-04-02.[48] 刘进, 须成忠, 孙婧, 喻之斌. 一种数据传输的方法及系统.&nbsp中国:&nbspCN103701865A,&nbsp2014-04-02.[49] 徐文星, 曾经纬, 贝振东, 喻之斌, 须成忠. 一种应用软件云测试系统.&nbsp中国:&nbspCN103678132A,&nbsp2014-03-26.[50] 曾经纬, 贝振东, 喻之斌, 徐文星, 须成忠. 一种用于应用软件云测试的任务调度系统.&nbsp中国:&nbspCN103678133A,&nbsp2014-03-26.[51] 熊文, 喻之斌, 须成忠. 一种在线存储共享方法和系统.&nbsp中国:&nbspCN103685497A,&nbsp2014-03-26.[52] 熊文, 须成忠, 喻之斌. 一种统一存储的实现方法.&nbsp中国:&nbspCN103067488A,&nbsp2013-04-24.[53] 喻之斌, 须成忠, 姜春涛. 一种基于采样的多核模拟并行加速方法.&nbsp中国:&nbspCN103049310A,&nbsp2013-04-17.[54] 喻之斌, 须成忠, 李孝科. 一种基于众核的多线程极限能耗测试源程序自动生成框架.&nbsp中国:&nbspCN103049384A,&nbsp2013-04-17.
出版信息
发表论文
(1) SOCA-DOM: A Mobile System-on-Chip Array System for Analyzing Big Data on the Move, Journal of computer science and technology: Instruction for authors., 2022, 通讯作者(2) LOCAT: Low—Overhead Online Configuration Auto—Tuning of Spark SQL Applications, ACM SIGMOD Conference 2022, 2022, 通讯作者(3) GML: Efficiently Auto-Tuning Flink's Configurations Via Guided Machine Learning, IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2021, 通讯作者(4) OR-ML: Enhancing Reliability for Machine Learning Accelerator with Opportunistic Redundancy, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2021, 第 6 作者(5) OSC: An Online Self-Configuring Big Data Framework for Optimization of QoS, IEEE Transactions on Computers, 2021, 通讯作者(6) 通过机器学习和模糊集方法在微体系结构层面表征区块链系统, :International Symposium on High-Performance Computer Architecture, 2020, 通讯作者(7) BBS: Micro-architecture Benchmarking Blockchain Systems through Machine Learning and Fuzzy Set, 2020 IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2020), 2020, 第 6 作者(8) Thread-Level Locking for SIMT Architectures, IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2020, 第 5 作者(9) COPA: Highly Cost-Effective Power Back-Up for Green Datacenters, IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2020, 通讯作者(10) 一种时空共享的任务调度抽象, in proceedings of International Symposium on Computer Architecture (ISCA), 2019, 通讯作者(11) Adaptive Memory-Side Last-Level GPU Caching, PROCEEDINGS OF THE 2019 46TH INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA '19), 2019, 第 3 作者(12) MiC: Multi-level Characterization and Optimization of GPGPU Kernels, ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS, 2019, 通讯作者(13) 一种用于绿色电源中心的极具成本效益的电源备份方案, IEEE Transactions on Parallel and Distributed Systems (TPDS), 2019, 通讯作者(14) QIG: Quantifying the Importance and Interaction of GPGPU Architecture Parameters, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 2018, 第 1 作者(15) CounterMiner: Mining Big Performance Data from Hardware Counters, 2018 51ST ANNUAL IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE (MICRO), 2018, 通讯作者(16) Configuring In-memory Cluster Computing Using Random Forest, FUTURE GENERATION COMPUTER SYSTEMS-THE INTERNATIONAL JOURNAL OF ESCIENCE, 2018, 通讯作者(17) MIA: Metric Importance Analysis for Big Data Workload Characterization, IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2018, 第 1 作者(18) The Elasticity and Plasticity in Semi-ContainerizedCo-locating Cloud Workload: a View from AlibabaTrace, PROCEEDINGS OF THE 2018 ACM SYMPOSIUM ON CLOUD COMPUTING (SOCC '18), 2018, 第 2 作者(19) 数据感知的内存大数据高维配置参数优化, proceedings of the 23rd ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2018, 第 1 作者(20) 编译技术走向:从通用处理器到专用加速器, 前沿科学, 2018, 第 3 作者(21) BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads, 2017 IEEE 35TH INTERNATIONAL CONFERENCE ON COMPUTER DESIGN (ICCD), 2017, 第 3 作者(22) QIG:Quantifying the Importance and Interaction of GPGPU Architecture Parameters, IEEE Transactions on Computer-aided design of integrated circuits and system., 2017, 第 2 作者(23) MEST: A Model-Driven Efficient Searching Approach for MapReduce Self-Tuning, IEEE ACCESS, 2017, 通讯作者(24) ATH: Auto-Tuning HBase's Configuration via Ensemble Learning., IEEE ACCESS, 2017, 第 4 作者(25) MIA: Metric Importance Analysis for Big Data Workload Characterization, IEEE Transactions on Parallel and Distributed Systems (TPDS), 2017, 第 2 作者(26) 基于大数据挖掘技术的电能表性能分析方法研究, Study on Electrical Meter Performance Analysis Based on Data Mining Technique, 陕西电力, 2017, (27) 对多线程程序的二层次混合采样模拟, ACM Transactions on Architecture and Code Optimization, 2016, 通讯作者(28) QIM: Quantifying Hyperparameter Importance for Deep Learning, NETWORK AND PARALLEL COMPUTING, 2016, 通讯作者(29) Thread Similarity Matrix: Visualizing Branch Divergence in GPGPU programs, PROCEEDINGS 45TH INTERNATIONAL CONFERENCE ON PARALLEL PROCESSING - ICPP 2016, 2016, 通讯作者(30) Two-Level Hybrid Sampled Simulation of Multithreaded Applications, ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 2016, 通讯作者(31) RFHOC: A Random-Forest Approach to Auto-Tuning Hadoop's Configuration, IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, 2016, 通讯作者(32) GPGPU-MiniBench: Accelerating GPGPU Micro-Architecture Simulation, IEEE TRANSACTIONS ON COMPUTERS, 2015, 通讯作者(33) SZTS: A Novel Big Data Transportation System Benchmark Suite, 2015 44TH INTERNATIONAL CONFERENCE ON PARALLEL PROCESSING (ICPP), 2015, 通讯作者(34) Shorter On-Line Warmup for Sampled Simulation of Multi-Threaded Applications, 2015 44TH INTERNATIONAL CONFERENCE ON PARALLEL PROCESSING (ICPP), 2015, 第 2 作者(35) 大数据基准测试程序包构建方法研究, An Approach to Build a Big Data Benchmark Suite, 集成技术, 2014, 第 2 作者(36) Accelerating GPGPU architecture simulation., 2013, (37) PCantorSim: Accelerating Parallel Architecture Simulation through Fractal-Based Sampling, ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 2013, 通讯作者(38) FractalMRC: An Online Cache Miss Rate Curve Generating Approach for Commodity Systems, Proceedings of IPDPS2012 (顶级国际会议), 2013, 通讯作者(39) 云计算核心技术与应用研究, 集成技术, 2012, 第 2 作者(40) 几个常见分布式文件系统特征分析和性能对比(英文), 集成技术, 2012, 第 2 作者(41) 计算系统的能耗瓶颈, 10000个科学难题 ? 信息科学卷, 2011, 第 1 作者(42) Hierarchically Characterization CUDA program Behavior, Proceedings of IISWC2011(重要国际会议), 2011, 第 1 作者(43) MT-Profiler: A Parallel Dynamic Analysis Framework based on Two-Stage Sampling, Proceedings of APPT2011, 2011, 第 1 作者(44) System-level Max Power (SYMPO) - A systematic approach for escalating system-level power consumption using synthetic benchmarks, Proceedings of PACT 2010(顶级国际会议), 2010, 第 1 作者(45) CantorSim: Simplifying Acceleration of Micro-architecture Simulation, Proceedings of MASCOTS2010(顶级国际会议), 2010, 第 1 作者(46) Simple and Fast Micro-architecture Simulation---A Trisection Cantor Fractal Approach, Proceedings of SIGMETRICS2009(顶级国际会议), 2009, 第 1 作者(47) TSS: Applying Two-Stage Sampling in Micro-architecture Simulations, 2009 IEEE INTERNATIONAL SYMPOSIUM ON MODELING, ANALYSIS & SIMULATION OF COMPUTER AND TELECOMMUNICATION SYSTEMS (MASCOTS), 2009, 通讯作者(48) 计算机体系结构软件模拟技术, Computer Architecture Software-Based Simulation, 软件学报, 2008, 第 1 作者(49) Democratic learning: A hardware/software co-design for lightweight blockchain-secured on-device machine learning, Journal of Systems Architecture, 第 4 作者(50) Barrier-Aware Warp Scheduling for Throughput Processors, (51) RFHOC: A Random-Forest Approach to Auto-Tuning Hadoop’s Configuration,
发表著作
(1) 计算系统虚拟化-原理与应用, System Virtualization - Principles and Applications, 清华大学出版社, 2008-12, 第 3 作者(2) 分布式与云计算 - 从并行处理到物联网, Distributed and Cloud Computing – From Parallel Processing to the Internet of things, Morgan Kaufmann, 2012-02, 第 3 作者
科研活动
科研项目
( 1 )&nbsp海云大数据系统关键技术研究, 参与, 部委级, 2012-07--2016-12( 2 )&nbsp云计算检验检测平台, 参与, 国家级, 2011-07--2015-12( 3 )&nbsp基于云计算的国际大宗商品交易系统关键技术研究, 主持, 省级, 2015-01--2017-12( 4 )&nbsp大规模数据中心能耗可扩展理论研究, 参与, 国家级, 2012-01--2016-12( 5 )&nbsp软件定义云计算基础理论与方法研究, 参与, 国家级, 2016-01--2020-12( 6 )&nbsp可敏捷定制的智能视觉处理器及系统应用, 主持, 省级, 2020-01--2022-12( 7 )&nbsp新一代通信通信项目-基于鲲鹏及昇腾的全栈智能大数据平台, 主持, 国家级, 2019-08--2021-07
参与会议
(1)BBS: Micro-architecture Benchmarking Blockchain Systems through Machine Learning and Fuzzy Set 第26届IEEE高性能计算机体系结构国际研讨会 2020-02-25(2)TPShare: A Time-Space Sharing Scheduling Abstraction for Shared Cloud via Vertical Labels 第46届计算机体系结构国际研讨会 2019-06-21(3)CounterMiner: Mining Big Performance Data from Hardware Counters 第51届IEEE / ACM微体系结构国际研讨会 2018-10-21(4)The Elasticity and Plasticity in Semi-Containerized Co-locating Cloud Workload: a View from Alibaba Trace IEEE国际系统芯片会议 2018-10-11(5)DAC: Datasize-Aware High Dimensional Configurations Auto-Tuning of In-Memory Cluster Computing 第23届ACM编程语言和操作系统体系结构支持国际会议 2018-03-21(6)Two-Level Hybrid Sampled Simulation of Multi-threaded Applications HiPEAC 2016:第11届高性能嵌入式架构和编译器国际会议 2016-01-17
指导学生
已指导学生程敏 硕士研究生 085211-计算机技术 吕依蓉 硕士研究生 081203-计算机应用技术 李乐乐 硕士研究生 081203-计算机应用技术 熊文 博士研究生 081203-计算机应用技术 贝振东 博士研究生 081203-计算机应用技术 罗妮 硕士研究生 085211-计算机技术 陈伟光 硕士研究生 085211-计算机技术 朱亮 硕士研究生 085211-计算机技术 现指导学生曲虹亮 博士研究生 081203-计算机应用技术 王倩楠 硕士研究生 085211-计算机技术 刘江佾 硕士研究生 081203-计算机应用技术 鲁真妍 硕士研究生 085211-计算机技术 辛锦瀚 硕士研究生 085211-计算机技术 闵宏睿 硕士研究生 085400-电子信息 陈九屹 硕士研究生 085400-电子信息 黄世鑫 硕士研究生 085400-电子信息 彭翼 硕士研究生 085400-电子信息 郭伟钰 硕士研究生 085211-计算机技术
2013 中国科学院大学,网络信息中心.