CHAO ZHAO-中国科学院大学-UCAS


本站和网页 http://people.ucas.ac.cn/~0019036 的作者无关,不对其内容负责。快照谨为网络故障时之索引,不代表被搜索网站的即时页面。

CHAO ZHAO-中国科学院大学-UCAS
[中文]
[English]
招生信息
教育背景
工作经历
教授课程
专利与奖励
出版信息
科研活动
指导学生
基本信息
赵超 男 博导 中国科学院微电子研究所电子邮件: zhaochao@ime.ac.cn通信地址: 北京市朝阳区北土城西路3号邮政编码: 100029
研究领域
CMOS集成电路工艺技术,MEMS,自旋电子器件和工艺
招生信息
招生专业
080903-微电子学与固体电子学080501-材料物理与化学085208-电子与通信工程
招生方向
集成电路先导工艺技术CMOS器件与工艺自旋电子学
教育背景
1994-12--1999-12 比利时天主教鲁汶大学 博士研究生1985-08--1988-07 哈尔滨工业大学/山东工业大学(联合培养) 硕士研究生1978-10--1982-07 南京大学 大学本科
学历
鲁汶大学博士研究生
学位
博士学位, 比利时鲁汶大学
工作经历
2010-03-2017-08 中国科学院微电子所集成电路先导工艺研发中心 主任, 研究员,博士生导师2000-01--2010-03 欧洲微电子研发中心(IMEC) 研究员 1993-12--1994-12 山东轻工业学院 副教授 1988-08--1993-12 山东轻工业学院 讲师 1982-08--1985-07 山东轻工业学院 助教
工作简历
2017-07~现在, 中国科学院微电子研究所, 副总工程师2011-07~2017-07,中国科学院微电子研究所, 先导中心(10室)主任2010-03~2011-07,中国科学院微电子研究所, 研究员2000-01~2010-03,欧洲微电子研发中心(IMEC), 研究员1988-08~1994-12,山东轻工业学院, 讲师1982-08~1985-07,山东轻工业学院, 助教
教授课程
半导体工艺与制造技术半导体制造技术
专利与奖励
 发明人所有发明人专利名称申请号申请日法律状态相应日期专利号1罗军罗军,邓坚,赵超,李俊峰,陈大鹏半导体器件制造方法201210147554.52012/5/11授权2017/9/5ZL201210147554.52钟汇才钟汇才,赵超,梁擎擎半导体器件及其制造方法201210304241.62012/8/23授权2017/1/25ZL201210304241.63包琦龙包琦龙,邓坚,罗军,赵超高电子迁移率晶体管及其制造方法201210343035.62012/9/14授权2017/2/8ZL201210343035.64钟汇才钟汇才,梁擎擎,赵超半导体器件及其制造方法201210333073.32012/9/10授权2017/6/13ZL201210333073.35崔虎山崔虎山,钟汇才,项金娟,赵超半导体器件制造方法201210475097.22012/11/21授权2017/11/21ZL201210475097.26王桂磊王桂磊 徐强 杨涛 闫江 李俊峰 赵超半导体器件及其制造方法201210473032.42012/11/20同意授权2017/12/14ZL201210473032.47秦长亮秦长亮 尹海洲 殷华湘 洪培真 王桂磊 赵超半导体器件制造方法201210495187.82012/11/28授权2017/11/21ZL201210495187.88朱慧珑朱慧珑,罗军,李春龙,邓坚,赵超平坦化处理方法201210505359.52012/11/30授权2017/3/1ZL201210505359.59李春龙李春龙,李俊峰,闫江,赵超一种后栅工艺假栅的制造方法和后栅工艺假栅201210509428.X2012/12/3授权2017/3/1ZL201210509428.X10李春龙李春龙,李俊峰,闫江,赵超一种后栅工艺假栅的制造方法和后栅工艺假栅201210510352.22012/12/3授权2017/3/1ZL201210510352.211秦长亮秦长亮 殷华湘 洪培真 马小龙 赵超 堆叠纳米线制造方法2013101100746.02013/3/29同意授权2017/10/11ZL201310110074612钟汇才钟汇才;罗军制造半导体器件的方法201410017352.82014/1/15授权2017/9/22ZL201410017352.813黄成军黄成军、罗军、赵超颗粒筛选装置201410350710.72014/7/22授权2017/10/20ZL201410350710.714黄成军黄成军;罗军;赵超微流体通道、侧向流监测器件和微流体阀201410350680.X2014/7/22授权2017/9/22ZL201410350680.X15朱慧珑朱慧珑,许淼,罗军,李春龙,王桂磊METHOD FOR MANUFACTURING FIN STRUCTURE14/442,8902015/5/14授权2017/6/279,691,62416徐强徐强、赵超、罗军、王桂磊、杨涛、李俊峰METHOD oF depositing tungsten layer with improved adhesion and filling behavior14/744,8352015/6/19授权2017/3/79,589,809奖励:2014年度中国科学院杰出科技成就奖;2015年朱李月华优秀教师奖;2016年北京市科技一等奖;2017年度院优秀博士生指导教师;
奖励信息
(1)&nbsp2117年中科院优秀博士生导师,&nbsp院级,&nbsp2017(2)&nbsp北京市科技一等奖,&nbsp一等奖,&nbsp省级,&nbsp2016(3)&nbsp朱李月华优秀教师奖,&nbsp一等奖,&nbsp院级,&nbsp2015(4)&nbsp中科院杰出科技成就奖,&nbsp特等奖,&nbsp院级,&nbsp2014
专利成果
( 1 )&nbsp一种半导体器件及其形成方法,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010512128.8( 2 )&nbsp堆叠的半导体器件及其制造方法,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010540727.0( 3 )&nbsp半导体器件及其制造方法,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010553050.4( 4 )&nbsp一种半导体器件及其形成方法,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010257006.9( 5 )&nbsp金属互连结构及金属层间通孔和互连金属线的形成方法,&nbsp发明,&nbsp2012,&nbsp第 1 作者,&nbsp专利号: 201010501703.4 ( 6 )&nbsp一种用于后栅工艺的平坦化方法及其器件结构,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010274285.X( 7 )&nbsp一种半导体器件结构及形成方法,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010250728.1( 8 )&nbsp一种半导体器件及制备方法,&nbsp发明,&nbsp2010,&nbsp第 1 作者,&nbsp专利号: 201010501703.4( 9 )&nbsp自对准金属硅化物的形成方法,&nbsp发明,&nbsp2010,&nbsp第 2 作者,&nbsp专利号: 201010599252.2( 10 )&nbsp半导体器件制造方法,&nbsp发明,&nbsp2017,&nbsp第 3 作者,&nbsp专利号: ZL201210147554.5( 11 )&nbsp半导体器件及其制造方法,&nbsp发明,&nbsp2017,&nbsp第 2 作者,&nbsp专利号: ZL201210304241.6( 12 )&nbsp高电子迁移率晶体管及其制造方法,&nbsp发明,&nbsp2017,&nbsp第 4 作者,&nbsp专利号: ZL201210343035.6( 13 )&nbsp半导体器件及其制造方法,&nbsp发明,&nbsp2017,&nbsp第 3 作者,&nbsp专利号: ZL201210333073.3( 14 )&nbsp半导体器件制造方法,&nbsp发明,&nbsp2017,&nbsp第 4 作者,&nbsp专利号: ZL201210475097.2( 15 )&nbsp平坦化处理方法,&nbsp发明,&nbsp2017,&nbsp第 5 作者,&nbsp专利号: ZL201210505359.5( 16 )&nbsp一种后栅工艺假栅的制造方法和后栅工艺假栅,&nbsp发明,&nbsp2017,&nbsp第 4 作者,&nbsp专利号: ZL201210509428.X( 17 )&nbsp一种后栅工艺假栅的制造方法和后栅工艺假栅,&nbsp发明,&nbsp2017,&nbsp第 4 作者,&nbsp专利号: ZL201210510352.2( 18 )&nbsp堆叠纳米线制造方法,&nbsp发明,&nbsp2017,&nbsp第 5 作者,&nbsp专利号: ZL2013101100746( 19 )&nbsp微流体通道、侧向流监测器件和微流体阀,&nbsp发明,&nbsp2017,&nbsp第 3 作者,&nbsp专利号: ZL201410350710.7( 20 )&nbspMETHOD oF depositing tungsten layer with improved adhesion and filling behavior,&nbsp发明,&nbsp2017,&nbsp第 2 作者,&nbsp专利号: 9589809
出版信息
1. Guilei Wang, Jun Luo, Jinbiao Liu, Tao Yang, Yefeng Xu, Junfeng Li, Huaxiang Yin, Jiang Yan, Huilong Zhu, Chao Zhao, Tianchun Ye, Henry H. Radamson: pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. Nanoscale Research Letters 12/2017; 12(1)., DOI:10.1186/s11671-017-2080-22. Guilei Wang, Jun Luo, Changliang Qin, Renrong Liang, Yefeng Xu, Jinbiao Liu, Junfeng Li, Huaxiang Yin, Jiang Yan, Huilong Zhu, Jun Xu, Chao Zhao, Henry H. Radamson, Tianchun Ye: Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. Nanoscale Research Letters 12/2017; 12(1)., DOI:10.1186/s11671-017-1908-03. Yongbo Liu, Zhengyong Zhu, Huilong Zhu, Guangxing Wan, Junfeng Li, Chao Zhao: Charge pumping test technique using CMOS ring oscillator on leakage issue. Microelectronics Journal 10/2017; 68:40-43., DOI:10.1016/j.mejo.2017.08.0094. Jianyu Fu, Junjie Li, Xiaobin He, Jiahan Yu, Na Zhou, Qifeng Jiang, Junfeng Li, Weibing Wang, Chao Zhao: Fabricating metal structures with taper angles and smooth sidewalls. Journal of Micromechanics and Microengineering 09/2017; 27(12)., DOI:10.1088/1361-6439/aa8c435. Zhiqian Zhao, Jing Zhang, Xiaolei Wang, Shuhua Wei, Chao Zhao, Wenwu Wang: Angle-resolved x-ray photoelectron spectroscopy study of GeO x growth by plasma post-oxidation. Chinese Physics B 09/2017; 26(10):108201., DOI:10.1088/1674-1056/26/10/1082016. Yanrong Wang, Hong Yang, Hao Xu, Weichun Luo, Luwei Qi, Shuxiang Zhang, Wenwu Wang, Jiang Yan, Huilong Zhu, Chao Zhao, Dapeng Chen, Tianchun Ye: Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process. Chinese Physics B 08/2017; 26(8):087304., DOI:10.1088/1674-1056/26/8/0873047. Changliang Qin, Huaxiang Yin, Guilei Wang, Peizhen Hong, Xiaolong Ma, Hushan Cui, Yihong Lu, Lingkuan Meng, Haizhou Yin, Huicai Zhong, Jiang Yan, Huilong Zhu, Qiuxia Xu, Junfeng Li, Chao Zhao, Henry H. Radamson: Study of sigma-shaped source/drain recesses for embedded-SiGe pMOSFETs. Microelectronic Engineering 07/2017; 181., DOI:10.1016/j.mee.2017.07.0018. Zhengyong Zhu, Huilong Zhu, Sumei Wang, Yongbo Liu, Xiaogen Yin, Kunpeng Jia, Chao Zhao: Negative-Capacitance Characteristics in a Steady-State Ferroelectric Capacitor Made of Parallel Domains. IEEE Electron Device Letters 06/2017; PP(99):1-1., DOI:10.1109/LED.2017.27219549. Lixing Zhou, Xiaolei Wang, Xueli Ma, Jinjuan xiang, Hong Yang, Chao Zhao, Tianchun Ye, Wenwu Wang: Hole Mobility Degradation by Remote Coulomb Scattering and Charge Distribution in Al2O3/GeOx Gate Stacks in Bulk Ge pMOSFET with GeOx Grown by Ozone Oxidation. Journal of Physics D Applied Physics 04/2017; 50(24)., DOI:10.1088/1361-6463/aa6f9610. Xiaolei Wang, Jinjuan Xiang, Kai Han, Shengkai Wang, Jun Luo, Chao Zhao, Tianchun Ye, Henry H. Radamson, Eddy Simoen, Wenwu Wang: Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs. IEEE Transactions on Electron Devices 04/2017; PP(99):1-6., DOI:10.1109/TED.2017.268848911. Chaochao Fu, Yan Wang, Peng Xu, Lei Yue, Feng Sun, David Wei Zhang, Shi-Li Zhang, Jun Luo, Chao Zhao, Dongping Wu: Understanding the microwave annealing of silicon. AIP Advances 03/2017; 7(3):035214., DOI:10.1063/1.497891212. Henry H. Radamson, Jun Luo, Changliang Qin, Huaxiang Yin, Huilong Zhu, Chao Zhao, Guilei Wang: Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs. International Journal of High Speed Electronics and Systems 03/2017; 26(01n02):1740003., DOI:10.1142/S012915641740003113. Miao Xu, Huilong Zhu, Yanbo Zhang, Qiuxia Xu, Yongkui Zhang, Changliang Qin, Qingzhu Zhang, Huaxiang Yin, Hao Xu, Shuai Chen, Jun Luo, Chunlong Li, Chao Zhao, Tianchun Ye: Two methods of tuning threshold voltage of bulk FinFETs with replacement high-k metal-gate stacks. Solid-State Electronics 03/2017; 129:52-60., DOI:10.1016/j.sse.2016.12.01614. Xue-Li Ma, Hong Yang, Jin-Juan Xiang, Xiao-Lei Wang, Wen-Wu Wang, Jian-Qi Zhang, Hua-Xiang Yin, Hui-Long Zhu, Chao Zhao: Crystallization behaviors of ultrathin Al-doped HfO 2 amorphous films grown by atomic layer deposition. Chinese Physics B 02/2017; 26(2):027701., DOI:10.1088/1674-1056/26/2/02770115. Shu-Juan Mao, Jing Xu, Gui-Lei Wang, Jun Luo, Ning-Yuan Duan, Eddy Simoen, Henry Radamson, Wen-Wu Wang, Da-Peng Chen, Jun-Feng Li, Chao Zhao, Tian-Chun Ye: On the Manifestation of Ge Pre-Amorphization Implantation (PAI) in Forming Ultrathin TiSi x for Ti Direct Contact on Si in Sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) Technology Nodes. 01/2017; 6(9):P660-P664., DOI:10.1149/2.0321709jss16. J. B. Liu, Jun Luo, Eddy Simoen, Guilei Wang, Jinjuan Xiang, Junfeng Li, Chao Zhao, Tianchun Ye: Hot Implantations of P into Ge: Impact on the Diffusion Profile. 01/2017; 6(1):P73-P77., DOI:10.1149/2.0311701jss17. Jinjuan Xiang, Xiaolei Wang, Tingting Li, Jianfeng Gao, Kai Han, Jiahan Yu, Wenwu Wang, Junfeng Li, Chao Zhao: Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO 2 Dielectric Using TaCl 5 and TEA as Precursors. 01/2017; 6(1):P38-P41., DOI:10.1149/2.0141701jss18. Shu-Juan Mao, Zheng-Yong Zhu, Gui-Lei Wang, Hui-Long Zhu, Jun-Feng Li, Chao Zhao: High-Mobility P-Type MOSFETs with Integrated Strained-Si 0.73 Ge 0.27 Channels and High-κ/Metal Gates. Chinese Physics Letters 11/2016; 33(11):118502., DOI:10.1088/0256-307X/33/11/11850219. Sen Huang, Xinyu Liu, Xinhua Wang, Xuanwu Kang, Jinhan Zhang, Qilong Bao, Ke Wei, Yingkui Zheng, Chao Zhao, Hongwei Gao, Qian Sun, Zhaofu Zhang, Kevin J. Chen: High Uniformity Normally-OFF GaN MIS-HEMTs Fabricated on Ultra-Thin-Barrier AlGaN/GaN Heterostructure. IEEE Electron Device Letters 10/2016; PP(99):1-1., DOI:10.1109/LED.2016.261738120. Ningyuan Duan, Jun Luo, Guilei Wang, Jinbiao Liu, Eddy Simoen, Shujuan Mao, Henry Radamson, Xiaolei Wang, Junfeng Li, Wenwu Wang, Chao Zhao, Tianchun Ye: Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation. IEEE Transactions on Electron Devices 09/2016; PP(99):1-4., DOI:10.1109/TED.2016.261046121. J. Luo, J. Liu, E. Simoen, G. Wang, S. Mao, H. H. Radamson, N. Duan, J. Li, W. Wang, D. Chen, C. Zhao, T. Ye: (Invited) On the Manipulation of Phosphorus Diffusion as Well as the Reduction of Specific Contact Resistivity in Ge by Carbon Co-Doping. ECS Transactions 09/2016; 75(4):219-226., DOI:10.1149/07504.0219ecst22. G. Wang, J. Luo, C. Qin, H. Cui, J. Liu, K. Jia, J. Li, T. Yang, H. Yin, C. Zhao, T. Ye, P. Yang, G. Jayakumar, H. H. Radamson: Integration of Selective Epitaxial Growth of SiGe/Ge Layers in 14nm Node FinFETs. ECS Transactions 09/2016; 75(8):273-279., DOI:10.1149/07508.0273ecst23. Changliang Qin, Guilei Wang, Peizhen Hong, Jinbiao Liu, Huaxiang Yin, Haizhou Yin, Xiaolong Ma, Hushan Cui, Yihong Lu, Lingkuan Meng, Jinjuan Xiang, Huicai Zhong, Huilong Zhu, Qiuxia Xu, Junfeng Li, Jian Yan, Chao Zhao, Henry H. Radamson: Process optimizations to recessed e-SiGe source/drain for performance enhancement in 22nm all-last high-k/metal-gate pMOSFETs. Solid-State Electronics 09/2016; 123:38-43., DOI:10.1016/j.sse.2016.05.01724. Xiaolei Wang, Zhiqian Zhao, Jinjuan Xiang, Wenwu Wang, Jing Zhang, Chao Zhao, Tianchun Ye: Experimental investigation on oxidation kinetics of germanium by ozone. Applied Surface Science 08/2016; 390., DOI:10.1016/j.apsusc.2016.08.12325. Kunpeng Jia, Yajuan Su, Jun Zhan, Kashif Shahzad, Huilong Zhu, Chao Zhao, Jun Luo: Enhanced End-Contacts by Helium Ion Bombardment to Improve Graphene-Metal Contacts. Nanomaterials 08/2016; 6(9)., DOI:10.3390/nano609015826. Hao Xu, Hong Yang, Wei-Chun Luo, Ye-Feng Xu, Yan-Rong Wang, Bo Tang, Wen-Wu Wang, Lu-Wei Qi, Jun-Feng Li, Jiang Yan, Hui-Long Zhu, Chao Zhao, Da-Peng Chen, Tian-Chun Ye: Study on influences of TiN capping layer on time-dependent dielectric breakdown characteristic of ultra-thin EOT high- k metal gate NMOSFET with kMC TDDB simulations. Chinese Physics B 08/2016; 25(8):087305., DOI:10.1088/1674-1056/25/8/08730527. Hao Xu, Hong Yang, Yan-Rong Wang, Wen-Wu Wang, Wei-Chun Luo, Lu-Wei Qi, Jun-Feng Li, Chao Zhao, Da-Peng Chen, Tian-Chun Ye: Temperature- and voltage-dependent trap generation model in high- k metal gate MOS device with percolation simulation. Chinese Physics B 08/2016; 25(8):087306., DOI:10.1088/1674-1056/25/8/08730628. Eddy Simoen, Marc Schaekers, Jinbiao Liu, Jun Luo, Chao Zhao, Kathy Barla, Nadine Collaert: Defect engineering for shallow n‐type junctions in germanium: Facts and fiction. Physica Status Solidi (A) Applications and Materials 07/2016; 213(11)., DOI:10.1002/pssa.20160049129. Zhaoyang Liu, Sen Huang, Qilong Bao, Xinhua Wang, Ke Wei, Haojie Jiang, Hushan Cui, Junfeng Li, Chao Zhao, Xinyu Liu, Jinhan Zhang, Qi Zhou, Wanjun Chen, Bo Zhang, Lifang Jia: Investigation of the interface between LPCVD-SiNx gate dielectric and III-nitride for AlGaN/GaN MIS-HEMTs. Journal of Vacuum Science & Technology B 07/2016; 34(4-4)., DOI:10.1116/1.494466230. Xiaolei Wang, Jinjuan Xiang, Shengkai Wang, Wenwu Wang, Chao Zhao, Tianchun Ye, Yuhua Xiong, Jing Zhang: Remote interfacial dipole scattering and electron mobility degradation in Ge field-effect transistors with GeO x /Al 2 O 3 gate dielectrics. Journal of Physics D Applied Physics 06/2016; 49(25):255104., DOI:10.1088/0022-3727/49/25/25510431. Guilei Wang, Changliang Qin, Huaxiang Yin, Jun Luo, Ningyuan Duan, Ping Yang, Xingyu Gao, Tao Yang, Junfeng Li, Jiang Yan, Huilong Zhu, WenWu Wang, Dapeng Chen, Tianchun Ye, Chao Zhao, Henry H. Radamson: Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14nm nodes FinFET technology. Microelectronic Engineering 06/2016; 163., DOI:10.1016/j.mee.2016.06.00232. Qilong Bao, Sen Huang, Xinhua Wang, Ke Wei, Yingkui Zheng, Yankui Li, Chengyue Yang, Haojie Jiang, Junfeng Li, Anqi Hu, Xuelin Yang, Bo Shen, Xinyu Liu, Chao Zhao: Effect of interface and bulk traps on the C–V characterization of a LPCVD-SiN x /AlGaN/GaN metal-insulator-semiconductor structure. Semiconductor Science and Technology 06/2016; 31(6):065014., DOI:10.1088/0268-1242/31/6/06501433. Hao Xu, Hong Yang, Yanrong Wang, Wenwu Wang, Guangxing Wan, Shangqing Ren, Weichun Luo, Luwei Qi, Chao Zhao, Dapeng Chen, Xinyu Liu, Tianchun Ye: Series resistance effect on time zero dielectrics breakdown characteristics of MOSCAP with ultra-thin EOT high- k /metal gate stacks. Journal of Semiconductors 05/2016; 37(5):054005., DOI:10.1088/1674-4926/37/5/05400534. Xing Wei, Huilong Zhu, Yanbo Zhang, Chao Zhao: Bulk FinFETs with body spacers for improving fin height variation. Solid-State Electronics 05/2016; 122., DOI:10.1016/j.sse.2016.04.00935. Weichun Luo, Hong Yang, Wenwu Wang, Yefeng Xu, Bo Tang, Shangqing Ren, Hao Xu, Yanrong Wang, Luwei Qi, Jiang Yan, Huilong Zhu, Chao Zhao, Dapeng Chen, Tianchun Ye: Accurate lifetime prediction for channel hot carrier stress on sub-1nm equivalent oxide thickness HK/MG nMOSFET with thin titanium nitride capping layer. Microelectronics Reliability 05/2016; 62., DOI:10.1016/j.microrel.2016.03.00836. Chaochao Fu, Xiangbiao Zhou, Yan Wang, Peng Xu, Ming Xu, Dongping Wu, Jun Luo, Chao Zhao, Shi-Li Zhang: Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing. Materials 04/2016; 9(5):315., DOI:10.3390/ma905031537. Jinjuan Xiang, Yanbo Zhang, Tingting Li, Xiaolei Wang, Jianfeng Gao, Huaxiang Yin, Junfeng Li, Wenwu Wang, Yuqiang Ding, Chongying Xu, Chao Zhao: Investigation of thermal atomic layer deposited TiAlX (X=N or C) film as metal gate. Solid-State Electronics 04/2016; 122., DOI:10.1016/j.sse.2016.04.00638. Xiaolei Wang, Jinjuan Xiang, Wenwu Wang, Chao Zhao, Jing Zhang: Dependence of electrostatic potential distribution of Al2O3/Ge structure on Al2O3 thickness. Surface Science 04/2016; 651., DOI:10.1016/j.susc.2016.04.00139. Wen Fang, Anabela Veloso, Eddy simoen, M.-J. Cho, Nadine Collaert, Aaron Thean, Jun Luo, Chao Zhao, Tianchun Ye, Cor Claeys: Impact of the effective work function gate metal on the low-frequency noise of gate-all-around Silicon-on-Insulator NWFETs. IEEE Electron Device Letters 04/2016; 37(4):1-1., DOI:10.1109/LED.2016.253084940. Jinjuan Xiang, Yuqiang Ding, Liyong Du, Junfeng Li, Wenwu Wang, Chao Zhao: Growth mechanism of atomic-layer-deposited TiAlC metal gate based on TiCl 4 and TMA precursors. Chinese Physics B 03/2016; 25(3):037308., DOI:10.1088/1674-1056/25/3/03730841. Qiuxia Xu, G. Xu, H. Zhou, H. Zhu, J. Liu, Y. Wang, J. Li, J. Xiang, Q. Liang, H. Wu, J. Zhong, M. Xu, W. Xu, X. Ma, X. Wang, X. Tong, D. Chen, J. Yan, C. Zhao, T. Ye: Attainment of dual-band edge work function by using a single metal gate and single high-k dielectric via ion implantation for HP CMOS device. Solid-State Electronics 01/2016; 115:26-32., DOI:10.1016/j.sse.2015.09.01942. Yijun Shi, Sen Huang, Qilong Bao, Xinhua Wang, Ke Wei, Haojie Jiang, Junfeng Li, Chao Zhao, Shuiming Li, Yu Zhou, Hongwei Gao, Qian Sun, Hui Yang, Jinhan Zhang, Wanjun Chen, Qi Zhou, Bo Zhang, Xinyu Liu: Normally off GaN-on-Si MIS-HEMTs fabricated with LPCVD-SiNx passivation and high-temperature gate recess. IEEE Transactions on Electron Devices 01/2016; 63(2):1-6., DOI:10.1109/TED.2015.251063043. Jinjuan Xiang, Tingting Li, Xiaolei Wang, Liyong Du, Yuqiang Ding, Wenwu Wang, Junfeng Li, Chao Zhao: Thermal atomic layer deposition of TaAlC with TaCl5 and TMA as precursors. 01/2016; 5(10):P633-P636., DOI:10.1149/2.0261610jss44. Jinjuan Xiang, Tingting Li, Xiaolei Wang, Kai Han, Junfeng Li, Chao Zhao: Understanding the role of TiN barrier layer on electrical performance of MOS device with ALD-TiN/ALD-TiAlC metal gate stacks. 01/2016; 5(6):P327-P329., DOI:10.1149/2.0221606jss45. Jinjuan Xiang, Tingting Li, Xiaolei Wang, Kai Han, Junfeng Li, Chao Zhao: Understanding the Role of TiN Barrier Layer on Electrical Performance of MOS Device with ALD-TiN/ALD-TiAlC Metal Gate Stacks TiN 0nm TiN 1nm TiN 2nm TiN 3nm. ECS Journal of Solid State Science and Technology 01/2016; 5(6):327-329., DOI:10.1149/2.0221606jss]46. J. B. Liu, J. Luo, E. Simoen, Y. X. Niu, F. Yang, G. L. Wang, W. W. Wang, D. P. Chen, J. F. Li, C. Zhao, T. C. Ye: Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium. 01/2016; 5(6):P315-P319., DOI:10.1149/2.0091606jss47. Jinjuan Xiang, Yuqiang Ding, Liyong Du, Chongying Xu, Tingting Li, Xiaolei Wang, Junfeng Li, Chao Zhao: Investigation of N Type Metal TiAlC by Thermal Atomic Layer Deposition Using TiCl 4 and TEA as Precursors. 01/2016; 5(5):P299-P303., DOI:10.1149/2.0291605jss48. Jinhan Zhang, Sen Huang, Qilong Bao, Xinhua Wang, Ke Wei, Yingkui Zheng, Yankui Li, Chao Zhao, Xinyu Liu, Qi Zhou, Wanjun Chen, Bo Zhang: Mechanism of Ti/Al/Ti/W Au-free ohmic contacts to AlGaN/GaN heterostructures via pre-ohmic recess etching and low temperature annealing. Applied Physics Letters 12/2015; 107(26):262109., DOI:10.1063/1.493919049. Kunpeng Jia, Jun Luo, Rongyan Hu, Jun Zhan, Heshi Cao, Yajuan Su, Huilong Zhu, Ling Xie, Chao Zhao, Dapeng Chen, Tianchun Ye: Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. 12/2015; 5(3):P138-P141., DOI:10.1149/2.0011603jss50. Lu-Wei Qi, Hong Yang, Shang-Qing Ren, Ye-Feng Xu, Wei-Chun Luo, Hao Xu, Yan-Rong Wang, Bo Tang, Wen-Wu Wang, Jiang Yan, Hui-Long Zhu, Chao Zhao, Da-Peng Chen, Tian-Chun Ye: Influence of ultra-thin TiN thickness (1.4 nm and 2.4 nm) on positive bias temperature instability (PBTI) of high- k /metal gate nMOSFETs with gate-last process. Chinese Physics B 12/2015; 24(12):127305., DOI:10.1088/1674-1056/24/12/12730551. LingKuan Meng, Peizhen Hong, Xiaobin He, Chunlong Li, Junjie Li, Junfeng Li, Chao Zhao, Yayi Wei, Jiang Yan: Gate patterning in 14nm and beyond nodes: From planar devices to three dimensional Finfet devices. Applied Surface Science 12/2015; 362., DOI:10.1016/j.apsusc.2015.11.13952. Guangxing Wan, Tianli Duan, Shuxiang Zhang, Lingli Jiang, Bo Tang, Jiang Yan, Chao Zhao, Huilong Zhu, HongYu Yu: Overshoot Stress on Ultra-Thin HfO2 High- Layer and Its Impact on Lifetime Extraction. IEEE Electron Device Letters 12/2015; 36(12):1-1., DOI:10.1109/LED.2015.249071953. Qiuxia Xu, Gaobo Xu, Huajie Zhou, Huilong Zhu, Qingqing Liang, Jinbiao Liu, Junfeng Li, Jinjuan Xiang, Miao Xu, Jian Zhong, Weijia Xu, Chao Zhao, Dapeng Chen, Tianchun Ye: Ion-Implanted TiN Metal Gate with Dual Band-Edge Work Function and Excellent Reliability for Advanced CMOS Device Applications. IEEE Transactions on Electron Devices 11/2015; 62(12):1-7., DOI:10.1109/TED.2015.249408054. Yan-Rong Wang, Hong Yang, Hao Xu, Xiao-Lei Wang, Wei-Chun Luo, Lu-Wei Qi, Shu-Xiang Zhang, Wen-Wu Wang, Jiang Yan, Hui-Long Zhu, Chao Zhao, Da-Peng Chen, Tian-Chun Ye: Influence of multi-deposition multi-annealing on time-dependent dielectric breakdown characteristics of PMOS with high- k /metal gate last process. Chinese Physics B 11/2015; 24(11):117306., DOI:10.1088/1674-1056/24/11/11730655. E. Simoen, A. Alian, H. Arimura, D. Lin, H. Mertens, J. Mitard, S. Sioncke, W. Fang, J. Luo, C. Zhao, A. Mocuta, N. Collaert, A. Thean, C. Claeys: (Invited) The Assessment of Border Traps in High-Mobility Channel Materials. ECS Transactions 10/2015; 69(5):205-217., DOI:10.1149/06905.0205ecst56. Y. Zhang, S. Wei, M. Li, C. Zhao, J. Luo, C. Huang: Simulation and optimization of solid-state nanopore for single-nanoparticle detection. DOI:10.3969/j.issn.1004-1699.2015.10.00157. Wenhui Chen, Jun Luo, Lingkuan Meng, Junjie Li, Jinjuan Xiang, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Chao Zhao: Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films. Thin Solid Films 10/2015;, DOI:10.1016/j.tsf.2015.10.03258. Hushan Cui, Jun Luo, Jing Xu, Jianfeng Gao, Jinjuan Xiang, Zhaoyun Tang, Xiaolei Wang, Yihong Lu, Xiaobin He, Tingting Li, Bo Tang, Jiahan Yu, Tao Yang, Jiang Yan, Junfeng Li, Chao Zhao, Tianchun Ye: Investigation of TaN as the wet etch stop layer for HKMG-last integration in the 22 nm and beyond nodes CMOS technology. Vacuum 09/2015; 119., DOI:10.1016/j.vacuum.2015.05.02159. Eddy Simoen, Bogdan Cretu, Wen Fang, Marc Aoulaiche, Jean-Marc Routoure, Régis Carin, Jun Luo, Chao Zhao, Cor Claeys: Low-Frequency Noise Spectroscopy of Bulk and Border Traps in Nanoscale Devices. Solid State Phenomena 09/2015; 242., DOI:10.4028/www.scientific.net/SSP.242.44960. Xiaolei Wang, Jinjuan Xiang, Wenwu Wang, Yuhua Xiong, Jing Zhang, Chao Zhao: Investigation on the dominant key to achieve superior Ge surface passivation by GeOx based on the ozone oxidation. Applied Surface Science 09/2015;, DOI:10.1016/j.apsusc.2015.09.08461. Yiluan Guo, Guilei Wang, Chao Zhao, Jun Luo: Simulation and characterization of stress in FinFETs using novel LKMC and nanobeam diffraction methods. Journal of Semiconductors 08/2015; 36(8)., DOI:10.1088/1674-4926/36/8/08600162. Eddy Simoen, W. Fang, M. Aoulaiche, J. Luo, C. Zhao, C. Claeys: Random Telegraph Noise: The key to single defect studies in nano-devices. Thin Solid Films 08/2015;, DOI:10.1016/j.tsf.2015.08.03763. Sen Huang, Xinyu Liu, Jinhan Zhang, Ke Wei, Guoguo Liu, Xinhua Wang, Yingkui Zheng, Honggang Liu, Zhi Jin, Chao Zhao, Cheng Liu, Shenghou Liu, Shu Yang, Jincheng Zhang, Yue Hao, Kevin J. Chen: High RF Performance Enhancement-Mode Al2O3/AlGaN/GaN MIS-HEMTs Fabricated with High-Temperature Gate-Recess Technique. IEEE Electron Device Letters 08/2015; 36(8):754-756., DOI:10.1109/LED.2015.244535364. Guilei Wang, M Moeen, A Abedin, Yefeng Xu, Jun Luo, Yiluan Guo, Changliang Qin, Zhaoyun Tang, Haizhou Yin, Junfeng Li, Jiang Yan, Huilong Zhu, Chao Zhao, Dapeng Chen, Tianchun Ye, M Kolahdouz, Henry H Radamson: Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. Solid-State Electronics 07/2015; 114:43-48., DOI:10.1016/j.sse.2015.07.00365. Wen Fang, Eddy Simoen, Hiroaki Arimura, Jerome Mitard, Sonja Sioncke, Hans Mertens, Anda Mocuta, Nadine Collaert, Jun Luo, Chao Zhao, Aaron Voon-Yew Thean, Cor Claeys: Low-Frequency Noise Characterization of GeO<sub> x </sub> Passivated Germanium MOSFETs. IEEE Transactions on Electron Devices 07/2015; 62(7):2078-2083., DOI:10.1109/TED.2015.243036766. Miao Xu, Huilong Zhu, Lichuan Zhao, Huaxiang Yin, Jian Zhong, Junfeng Li, Chao Zhao, Dapeng Chen, Tianchun Ye: Improved Short Channel Effect Control in Bulk FinFETs With Vertical Implantation to Form Self-Aligned Halo and Punch-Through Stop Pocket. IEEE Electron Device Letters 07/2015; 36(7):1-1., DOI:10.1109/LED.2015.243482567. Xinhua Wang, Sen Huang, Yingkui Zheng, Ke Wei, Xiaojuan Chen, Guoguo Liu, TingTing Yuan, Weijun Luo, Lei Pang, haojie Jiang, Junfeng Li, Chao Zhao, Haoxiang Zhang, Xinyu Liu: Robust SiNx/AlGaN Interface in GaN HEMTs Passivated by Thick LPCVD-grown SiNx Layer. IEEE Electron Device Letters 07/2015; 36(7):1-1., DOI:10.1109/LED.2015.243203968. Kunpeng Jia, Yajuan Su, Yang Chen, Jun Luo, Jie Yang, Peng Lv, Zihan Zhang, Huilong Zhu, Chao Zhao, Tianchun Ye: Effects of defects and thermal treatment on the properties of graphene. Vacuum 06/2015; 116., DOI:10.1016/j.vacuum.2015.03.00369. Qilong Bao, Tiankai Zhu, Ning Zhou, Shiping Guo, Jun Luo, Chao Zhao: Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue® MOCVD platform. Journal of Crystal Growth 06/2015; 419., DOI:10.1016/j.jcrysgro.2015.02.08470. Qiang Xu, Jun Luo, Guilei Wang, Tao Yang, Junfeng Li, Tianchun Ye, Dapeng Chen, Chao Zhao: Application of ALD W films as gate filling metal in 22nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. Microelectronic Engineering 04/2015; 137(1)., DOI:10.1016/j.mee.2015.01.00771. S. Huang, Q. Jiang, K. Wei, G. Liu, J. Zhang, X. Wang, Y. Zheng, B. Sun, C. Zhao, H. Liu, Z. Jin, X. Liu, H. Wang, S. Liu, Y. Lu, C. Liu, S. Yang, Z. Tang, Y. Hao, K.J. Chen: High-temperature low-damage gate recess technique and ozone-assisted ALD-grown Al2O3 gate dielectric for high-performance normally-off GaN MIS-HEMTs. Electron Devices Meeting, 1988. IEDM '88. Technical Digest., International 02/2015; 2015:17.4.1-17.4.4., DOI:10.1109/IEDM.2014.704707172. Qingbo Liu, Guilei Wang, Ningyuan Duan, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo: Effects of Carbon Pre-Germanidation Implantation on the Thermal Stability of NiGe and Dopant Segregation on Both n-and p-Type Ge Substrate. 02/2015; 4(5):119-123., DOI:10.1149/2.0041505jss]73. Wen Fang, Eddy Simoen, Marc Aoulaiche, Jun Luo, Chao Zhao, Cor Claeys: Distinction between silicon and oxide traps using single-trap spectroscopy. Physica Status Solidi (A) Applications and Materials 02/2015; 212(3)., DOI:10.1002/pssa.20140008774. Qingbo Liu, Guilei Wang, Yiluan Guo, Xingxing Ke, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo: Effects of carbon pre-germanidation implant into Ge on the thermal stability of NiGe films. Microelectronic Engineering 02/2015; 133:6-10., DOI:10.1016/j.mee.2014.11.00875. Qingbo Liu, Guilei Wang, Yiluan Guo, Xingxing Ke, Hong Liu, Chao Zhao, Jun Luo: Optimization of a two-step Ni(5% Pt) germanosilicidation process and the redistribution of Pt in Ni(Pt)Si1−xGex germanosilicide. Vacuum 01/2015; 111., DOI:10.1016/j.vacuum.2014.10.00776. Yiluan Guo, Jun Luo, Guilei Wang, Xingxing Ke, Qingbo Liu, Chao Zhao: Systematic comparison between a new lattice kinetic Monte Carlo method and conventional polyhedron method for stress simulation in FinFETs. DOI:10.1109/ICSICT.2014.702131877. Rui Li, Yunfei Liu, Keke Zhang, Chao Zhao, Huilong Zhu, Haizhou Yin: Punch through stop layer optimization in bulk FinFETs. DOI:10.1109/ICSICT.2014.702152378. Wen Fang, Eddy Simoen, Marc Aoulaiche, Jun Luo, Chao Zhao, Cor Claeys: Study of ΔID/ID of a single charge trap in utbox silicon films. DOI:10.1109/ICSICT.2014.702149379. Eddy Simoen, Bogdan Cretu, Wen Fang, Marc Aoulaiche, Jean-Marc Routoure, Regis Carin, Sara dos Santos, Jun Luo, Chao Zhao, Joao Antonio Martino, Cor Claeys: Towards single-trap spectroscopy: Generation-recombination noise in UTBOX SOI nMOSFETs. Physica Status Solidi (C) Current Topics in Solid State Physics 01/2015; 12(3):n/a-n/a., DOI:10.1002/pssc.20140007580. Jinjuan Xiang, Tingting Li, Yanbo Zhang, Xiaolei Wang, Jianfeng Gao, Hushan Cui, Huaxiang Yin, Junfeng Li, Wenwu Wang, Yuqiang Ding, Chongying Xu, Chao Zhao: Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET. 01/2015; 4(12):P441-P444., DOI:10.1149/2.0231512jss81. Keke Zhang, Yunfei Liu, Huilong Zhu, Chao Zhao, Tianchun Ye, Haizhou Yin: Doping profile optimisation in bulk FinFET channel and source/drain extension regions for low off-state leakage. International Journal of Nanotechnology 01/2015; 12(1/2):111., DOI:10.1504/IJNT.2015.06619882. Qingbo Liu, Wang Geilei, Yiluan Guo, Xingxing Ke, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo: Improvement of the Thermal Stability of Nickel Stanogermanide by Carbon Pre-Stanogermanidation Implant into GeSn Substrate. 01/2015; 4(3):67-70., DOI:10.1149/2.0041503jss]83. Shangqing Ren, Bo Tang, Hao Xu, Weichun Luo, Zhaoyun Tang, Yefeng Xu, Jing Xu, Dahai Wang, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen, Tianchun Ye, Wenwu Wang: Characterization of positive bias temperature instability of NMOSFET with high- k /metal gate last process. Journal of Semiconductors 01/2015; 36(1):014007., DOI:10.1088/1674-4926/36/1/01400784. Guilei Wang, Ahmad Abedin, Mahdi Moeen, Mohammadreza Kolahdouz, Jun Luo, Yiluan Guo, Tao Chen, Huaxiang Yin, Huilong Zhu, Junfeng Li, Chao Zhao, Henry H Radamson: Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. Solid-State Electronics 12/2014; 103., DOI:10.1016/j.sse.2014.07.00885. Xing Wei, Jian Zhong, Jun Luo, Hao Wu, Huilong Zhu, Chao Zhao, Haizhou Yin: FinFETs using reverse substrate layer with improved gate capacitance characteristics for subthreshold application. Solid-State Electronics 11/2014; 104., DOI:10.1016/j.sse.2014.10.01186. Zhengyong Zhu, Huilong Zhu, Miao Xu, Jian Zhong, Chao Zhao, Dapeng Chen, Tianchun Ye: A Novel Fin Electron–Hole Bilayer Tunnel Field-Effect Transistor. IEEE Transactions on Nanotechnology 11/2014; 13(6):1133-1137., DOI:10.1109/TNANO.2014.234276587. Wenhui Chen, Jun Luo, Peixiong Shi, Chunlong Li, Xiaobin He, Peizhen Hong, Junfeng Li, Chao Zhao: Self-assembling Morphologies of Symmetric PS-b-PMMA in Different Sized Confining Grooves. RSC Advances 09/2014; 4(92)., DOI:10.1039/C4RA09573A88. LingKuan Meng, Chunlong Li, Xiaobin He, Jun Luo, Jiang Yan, Junfeng Li, Chao Zhao: Innovatively composite hard mask to feature sub-30nm gate patterning. Microelectronic Engineering 09/2014; 127., DOI:10.1016/j.mee.2014.02.01089. Lichuan Zhao, Zhaoyun Tang, Bo Tang, Xueli Ma, Jinbiao Liu, Jinjuan Xiang, Jianfeng Gao, Chunlong Li, Xiaobin He, Cheng Jia, Mingzheng Ding, Hong Yang, Yefeng Xu, Jing Xu, Hongli Wang, Peng Liu, Peizhen Hong, Lingkuan Meng, Tingting Li, Wenjuan Xiong, Hao Wu, Junjie Li, Guilei Wang, Tao Yang, Hushan Cui, Yihong Lu, Xiaodong Tong, Jun Luo, Jian Zhong, Qiang Xu, Wenwu Wang, Junfeng Li, Huilong Zhu, Chao Zhao, Jiang Yan, Dapeng Chen, Simon Yang, Tianchun Ye: Mitigation of reverse short-channel effect with multilayer TiN/Ti/TiN metal gates in gate last PMOSFETs. IEEE Electron Device Letters 08/2014; 35(8):811-813., DOI:10.1109/LED.2014.233135690. Lingkuan Meng, Xiaobin He, Chunlong Li, Junjie Li, Peizhen Hong, Junfeng Li, Chao Zhao, Jiang Yan: Transistor gate line roughness formation and reduction in sub-30-nm gate patterning using multilayer hard mask structure. Journal of Micro/ Nanolithography, MEMS, and MOEMS 07/2014; 13(3):033010., DOI:10.1117/1.JMM.13.3.03301091. Zhaoyun Tang, Bo Tang, Lichuan Zhao, Guilei Wang, Jing Xu, Yefeng Xu, Hongli Wang, Dahai Wang, Junfeng Li, Jiang Yan, Chao Zhao: Back gate bias stressing on extremely thin SOI (ETSOI) MOSFETs with gate last process integration. ECS Transactions 05/2014; 61(3):119-125., DOI:10.1149/06103.0119ecst92. Jun Luo, Zhi-Jun Qiu, Jian Deng, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Dongping Wu, Mikael Östling, Tianchun Ye, Shi-Li Zhang: Effects of carbon pre-silicidation implant into Si substrate on NiSi. Microelectronic Engineering 05/2014; 120:178–181., DOI:10.1016/j.mee.2013.08.01093. Jun Luo, Zhi-Jun Qiu, Jian Deng, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Dongping Wu, Mikael Östling, Tianchun Ye, Shi-Li Zhang: Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements. Microelectronic Engineering 05/2014; 120:174–177., DOI:10.1016/j.mee.2013.09.00394. C. K. Li, Wen Fang, Eddy Simoen, Marc Aoulaiche, Y. R. Wu, Jun Luo, Chao Zhao, Cor Claeys: Silicon-film-related random telegraph noise in UTBOX silicon-on-insulator nMOSFETs. ECS Transactions 03/2014; 60(1):109-114., DOI:10.1149/06001.0109ecst95. Xiaodong Tong, Jun Luo, Hao Wu, Qingqing Liang, Huicai Zhong, Huilong Zhu, Chao Zhao: Two-terminal vertical memory cell for cross-point static random access memory applications. Journal of vacuum science & technology. B, Microelectronics and nanometer structures: processing, measurement, and phenomena: an official journal of the American Vacuum Society 03/2014; 32(2):021205-021205-7., DOI:10.1116/1.486557296. Qilong Bao, Jun Luo, Chao Zhao: Mechanism of TMAl pre-seeding in AlN epitaxy on Si (111) substrate. Vacuum 03/2014; 101:184–188., DOI:10.1016/j.vacuum.2013.08.01597. Lingkuan Meng, Xiaobin He, Chunlong Li, Junfeng Li, Chao Zhao, Jiang Yan: Line roughness formation during plasma etch: Mechanism and reduction. Proceedings of SPIE - The International Society for Optical Engineering 02/2014; 9054., DOI:10.1117/12.204632798. Zhaoyun Tang, Bo Tang, Lichuan Zhao, Guilei Wang, Jing Xu, Yefeng Xu, Hongli Wang, Dahai Wang, Junfeng Li, Fujiang Lin, Jiang Yan, Chao Zhao, Tianchun Ye: Impacts of back gate bias stressing on device characteristics for extremely thin SoI (ETSoI) MOSFETs. IEEE Electron Device Letters 02/2014; PP(99):1-1., DOI:10.1109/LED.2014.230143199. L. Meng, J. Li, C. Zhao, J. Yan: Aspect Ratio Dependent Analytic Model and Application in Deep Silicon Etch. ECS Solid State Letters 02/2014; 3(5):Q25-Q27., DOI:10.1149/2.006405ssl100. Jian Deng, Qingbo Liu, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Jun Luo: A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique. Vacuum 01/2014; 99:225-227., DOI:10.1016/j.vacuum.2013.05.024101. Q. Liu, Y. Guo, X. Ke, G. Wang, C. Zhao, H. Liu, J. Luo: Effect of Pt redistribution on Ni(Pt)Si1-xGex germanosilicide. ECS Transactions 01/2014; 60(1):139-144., DOI:10.1149/06001.0139ecst102. Weichun Luo, Hong Yang, Wenwu Wang, Lichuan Zhao, Hao Xu, Shangqing Ren, Bo Tang, Zhaoyun Tang, Yefeng Xu, Jing Xu, Jiang Yan, Chao Zhao, Dapeng Chen, Tianchun Ye: Physical understanding of different drain-induced-barrier-lowering variations in high-k/metal gate n-channel metal-oxide-semiconductor-field-effect-transistors induced by charge trapping under normal and reverse channel hot carrier stresses. Applied Physics Letters 10/2013; 103(18):183502-183502-4., DOI:10.1063/1.4826918103. G L Wang, M Moeen, A Abedin, M Kolahdouz, J Luo, C L Qin, H L Zhu, J Yan, H Z Yin, J F Li, C Zhao, H H Radamson: Optimization of SiGe selective epitaxy for source/drain engineering in 22nm node complementary metal-oxide semiconductor (CMOS). Journal of Applied Physics 09/2013; 114(123511)., DOI:10.1063/1.4821238104. Huaxiang Yin, Lingkuan Meng, Tao Yang, Gaobo Xu, Qiuxia Xu, Chao Zhao, Dapeng Chen: CMP-Less Planarization Technology with SOG/LTO Etchback for Low-Cost High-k/Metal Gate-Last Integration. 04/2013; 2(6):P268-P270., DOI:10.1149/2.011306jss105. Peng Xu, Chaochao Fu, Cheng Hu, David Wei Zhang, Dongping Wu, Jun Luo, Chao Zhao, Zhi-Bin Zhang, Shi-Li Zhang: Ultra-shallow junctions formed using microwave annealing. Applied Physics Letters 03/2013; 102(12)., DOI:10.1063/1.4799030106. Jinjuan Xiang, Xiaolei Wang, Tingting Li, Chao Zhao, Wenwu Wang, Junfeng Li, Qingqing Liang, Dapeng Chen, Tianchun Ye: Band Lineup Issues Related with High-k/SiO2/Si Stack. ECS Transactions 03/2013; 50(4):293-298., DOI:10.1149/05004.0293ecst107. K. Han, X. L. Wang, W. W. Wang, J. Zhang, J. J. Xiang, H. Yang, C. Zhao, D. P. Chen, T. C. Ye: Schottky barrier height at TiN/HfO2 Interface of TiN/HfO 2/SiO2/Si structure. ECS Transactions 03/2013; 50(4):299-304., DOI:10.1149/05004.0299ecst108. C. Qin, H. Yin, G. Wang, P. Hong, T. Yang, Y. Lu, Q. Xu, Z. Zhao, H. Cui, C. Zhao: Integration Issue of Tensile SiN Liner for Dual Stress Liner(DSL) in Gate-Last High-k/Metal Gate(HKMG) Process Flow. ECS Transactions 03/2013; 52(1):677-681., DOI:10.1149/05201.0677ecst109. H. Xu, H. Yang, S. Ren, W. Luo, Y. Wang, K. Han, J. Xiang, X. Wang, X. Ma, W. Wang, C. Zhao, D. Chen, T. Ye: Electrical and TDDB Characteristics of High-k/Metal Gate MOS Capacitors with Different RTO Temperatures. ECS Transactions 03/2013; 52(1):935-940., DOI:10.1149/05201.0935ecst110. Xueli Ma, Xiaolei Wang, Kai Han, Wenwu Wang, Hong Yang, Chao Zhao, Dapeng Chen, Tianchun Ye: Electrical Properties and Interfacial Structures of High-k/Metal Gate MOSCAP using Ti/TiN Scavenging Stack between High-k Dielectric and Metal Gate. ECS Transactions 03/2013; 52(1):117-121., DOI:10.1149/05201.0117ecst111. Xiaolei Wang, Jinjuan Xiang, Wenwu Wang, Jing Zhang, Kai Han, Hong Yang, Xueli Ma, Chao Zhao, Dapeng Chen, Tianchun Ye: A possible origin of core-level shift in SiO2/Si stacks. Applied Physics Letters 01/2013; 102(4)., DOI:10.1063/1.4790157112. Xiaolei Wang, Jinjuan Xiang, Wenwu Wang, Jing Zhang, Kai Han, Hong Yang, Xueli Ma, Chao Zhao, Dapeng Chen, Tianchun Ye: Reexamination of band offset transitivity employing oxide heterojunctions. Applied Physics Letters 01/2013; 102(3)., DOI:10.1063/1.4789392113. Jing Zhang, Xiao Lei Wang, Kai Han, Wen Wu Wang, Chao Zhao, Da Peng Chen, Tian Chun Ye: Investigations of Fermi Level Pinning and Dipole Formation in TiN/HfO<sub>2</sub>/SiO<sub>2</sub>/Si Stacks. 12/2012; 629:139-144., DOI:10.4028/www.scientific.net/AMR.629.139114. Yi Song, Qiuxia Xu, Jun Luo, Huajie Zhou, Jiebin Niu, Qingqing Liang, Chao Zhao: Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate. IEEE Transactions on Electron Devices 07/2012; 59(7):1885-1890., DOI:10.1109/TED.2012.2194785115. Qingqing Liang, Qiuxia Xu, Huilong Zhu, Huicai Zhong, Junfeng Li, Chao Zhao, Dapeng Chen, Tianchun Ye: Interfacial elastic dipoles: A new EOT shifting mechanism in HKMG devices. IEEE Electron Device Letters 06/2012; 33(6):884-886., DOI:10.1109/LED.2012.2190033116. Jinjuan Xiang, Xiaolei Wang, Tingting Li, Chao Zhao, Wenwu Wang, Junfeng Li, Dapeng Chen, Tianchun Ye: Band alignment of high-k dielectric on SiO2/Si stack. DOI:10.1109/IWJT.2012.6212847117. Xiaolei Wang, Wenwu Wang, Kai Han, Hong Yang, Jing Zhang, Xueli Ma, Jinjuan Xiang, Chao Zhao, Dapeng Chen, Tianchun Ye: Investigation of band structure at metal-gate/high-k interface of metal oxide semiconductor device with high-k and metal gate stack. DOI:10.1109/IWJT.2012.6212837118. Xiaolei Wang, Kai Han, Wenwu Wang, Jinjuan Xiang, Hong Yang, Jing Zhang, Xueli Ma, Chao Zhao, Dapeng Chen, Tianchun Ye: Band alignment of HfO2 on SiO2/Si structure. Applied Physics Letters 03/2012; 100(12)., DOI:10.1063/1.3694274119. T. Yang, C. Zhao, G. B. Xu, Q. X. Xu, J. F. Li, W. W. Wang, J. Yan, H. L. Zhu, D. P. Chen: HfSiON High-k Layer Compatibility Study with TetraMethyl Ammonium Hydroxide (TMAH) Solution. Electrochemical and Solid-State Letters 01/2012; 15(5):H141., DOI:10.1149/2.008205esl120. Xiaolong Ma, Huaxiang Yin, Zuozhen Fu, Haiqiang Zhang, Xu Zhang, Jiang Yan, Chao Zhao, Dapeng Chen, Tianchun Ye: Diamond-Like Carbon Thin Films with Extremely High Compressive Stress (>8~12GPa) for Advanced CMOS Strain Engineering. MRS Online Proceeding Library Archive 01/2012; 1427., DOI:10.1557/opl.2012.1416121. Q. Liang, Q. X. Xu, G. B. Xu, H. C. Zhong, H. L. Zhu, J. F. Li, C. Zhao, J. Yan, D. P. Chen, T. C. Ye: A new EOT shrinking mechanism in TiN/HfLaON HKMG MOSFET: Experimental and ab-initio study. DOI:10.1109/ISDRS.2011.6135351122. M.caymax, S.de Gendt, W.vandervorst, M.heyns, H.bender, R.carter, T.conard, R.degraeve, G.groeseneken, S.kubicek, G.lujan, L.pantisano, J.petry, E.rohr, S.van Elshocht, C.zhao, E.cartier, J.chen, V.cosnier, S. E.jang, V.kaushik, A.kerber, J.kluth, S.lin, W.tsai, E.young, Y.manabe: ISSUES, ACHIEVEMENTS AND CHALLENGES TOWARDS INTEGRATION OF HIGH-k DIELECTRICS. International Journal of High Speed Electronics and Systems 11/2011; 12(02)., DOI:10.1142/S0129156402001253123. Jun Luo, Xindong Gao, Zhi-Jun Qiu, Jun Lu, Dongping Wu, Chao Zhao, Junfeng Li, Dapeng Chen, Lars Hultman, Shi-Li Zhang: Thermal Stability and Dopant Segregation for Schottky Diodes With Ultrathin Epitaxial NiSi2-y. IEEE Electron Device Letters 09/2011; 32(8-32):1029 - 1031., DOI:10.1109/LED.2011.2157301124. Yi Song, Huajie Zhou, Qiuxia Xu, Jun Luo, Chao Zhao, Qingqing Liang: High performance N- and P-type gate-all-around nanowire MOSFETs fabricated on bulk Si by CMOS-compatible process. 06/2011;, DOI:10.1109/DRC.2011.5994423125. Christopher J. Wilson, Chao Zhao, Henny Volders, Larry Zhao, Kristof Croes, Zsolt Tőkei, Gerald P. Beyer: Texture characterization of Cu interconnects with different Ta-based sidewall diffusion barriers. Microelectronic Engineering 05/2011; 88(5):656-660., DOI:10.1016/j.mee.2010.06.014126. H. Volders, L. Carbonell, N. Heylen, K. Kellens, C. Zhao, K. Marrant, G. Faelens, T. Conard, B. Parmentier, J. Steenbergen, M. Van de Peer, C.J. Wilson, E. Sleeckx, G.P. Beyer, Zs. Tőkei, V. Gravey, K. Shah, A. Cockburn: Barrier and seed repair performance of thin RuTa films for Cu interconnects. Microelectronic Engineering 05/2011; 88(5):690-693., DOI:10.1016/j.mee.2010.09.003127. V. S. Kaushik, S. DeGendt, R. Carter, M. Claes, E. Rohr, L. Pantisano, J. Kluth, A. Kerber, V. Cosnier, E. Cartier, W. Tsai, E. Young, M. Green, J. Chen, S-A. Jang, S. Lin, A. Delabie, S.V. Elshocht, Y. Manabe, O. Richard, C. Zhao, H. Bender, M. Caymax, M. Heyns: The Influence of Defects on Compatibility and Yield of the HfO2-PolySilicon Gate Stack for CMOS Integration. MRS Online Proceeding Library Archive 01/2011; 745., DOI:10.1557/PROC-745-N8.7/T6.7128. T Yang, H X Yin, Q X Xu, Chao Zhao, Jun Feng Li, D P Chen: Dummy Poly Silicon Gate Removal by Wet Chemical Etching. ECS Transactions 01/2011; 34(1)., DOI:10.1149/1.3567604129. Yi Song, Huajie Zhou, Qiuxia Xu, Jiebin Niu, Jiang Yan, Chao Zhao, Huicai Zhong: High-Performance Silicon Nanowire Gate-All-Around nMOSFETs Fabricated on Bulk Substrate Using CMOS-Compatible Process. IEEE Electron Device Letters 12/2010; 31(12):1377-1379., DOI:10.1109/LED.2010.2080256130. Y. Yang, R. Labie, F. Ling, C. Zhao, A. Radisic, J. Van Olmen, Y. Travaly, B. Verlinden, I. De Wolf: Processing assessment and adhesion evaluation of copper through-silicon vias (TSVs) for three-dimensional stacked-integrated circuit (3D-SIC) architectures. Microelectronics Reliability 09/2010; 50(9-11-50):1636-1640., DOI:10.1016/j.microrel.2010.07.019131. Yu Yang, Riet Labie, Olivier Richard, Hugo Bender, Chao Zhao, Bert Verlinden, Ingrid De Wolf: The Impact of BackSide Cu Contamination on 3D Stacking Architecture. Electrochemical and Solid-State Letters 01/2010; 13(2)., DOI:10.1149/1.3269603132. Christopher J. Wilson, Kristof Croes, Chao Zhao, Till H. Metzger, Larry Zhao, Gerald P. Beyer, Alton B. Horsfall, Anthony G. O'Neill, Zsolt Tokei: Synchrotron measurement of the effect of linewidth scaling on stress in advanced Cu/Low-k interconnects. Journal of Applied Physics 10/2009; 106(5-106):053524 - 053524-7., DOI:10.1063/1.3212572133. Chao Zhao, Malgorzata Pawlak, Mihaela I. Popovici, Marc Schaekers, Erik Sleeckx, Eric Vancoille, Dirk Wouters, Zsolt Tokei, Jorge Kittl: Atomic Layer Deposition of Ru and RuO. ECS Transactions 09/2009; 25(4)., DOI:10.1149/1.3205072134. C. J. Wilson, C. Zhao, L. Zhao, Zs. Tokei, K. Croes, M. Pantouvaki, G. P. Beyer, A. B. Horsfall, A. G. O'Neill: Synchrotron measurement of the effect of dielectric porosity and air gaps on the stress in advanced Cu/Low-k interconnects. DOI:10.1109/IITC.2009.5090343135. C. J. Wilson, C. Zhao, L. Zhao, T. H. Metzger, Zs. Tőkei, K. Croes, M. Pantouvaki, G. P. Beyer, A. B. Horsfall, A. G. O’Neill: Study of the effect of dielectric porosity on the stress in advanced Cu/low-k interconnects using x-ray diffraction. Applied Physics Letters 05/2009; 94(18):181914-181914-3., DOI:10.1063/1.3133345136. C. S. Liu, G. Boccardi, H. Y. Wang, C. T. Lin, J. Petry, M. Muller, Z. Li, C. Zhao, C. H. Yu: 22nm CMOS approaches by PVD TiN or Ti-silicide as metal gate. International Symposium on VLSI Technology, Systems, and Applications, Proceedings 04/2009;, DOI:10.1109/VTSA.2009.5159292137. C. Adelmann, J. Meersschaut, L.-A. Ragnarsson, T. Conard, A. Franquet, N. Sengoku, Y. Okuno, P. Favia, H. Bender, C. Zhao, B. J. OSullivan, A. Rothschild, T. Schram, J. A. Kittl, S. Van Elshocht, S. De Gendt, P. Lehnen, O. Boissiere, C. Lohe: Thermally stable high effective work function TaCN thin films for metal gate electrode applications. Journal of Applied Physics 04/2009; 105(5-105):053516 - 053516-8., DOI:10.1063/1.3078107138. M. Badylevich, S. Shamuilia, V. V. Afanasev, A. Stesmans, Y. G. Fedorenko, C. Zhao: Electronic structure of the interface of aluminum nitride with Si(100). Journal of Applied Physics 12/2008; 104(9-104):093713 - 093713-4., DOI:10.1063/1.2966482139. Sofie Mertens, Thomas Y. Hoffmann, Christa Vrancken, Stefan Jakschik, Olivier Richard, Eveline Verleysen, Hugo Bender, Chao Zhao, Wilfried Vandervorst, Philippe Absil, Anne Lauwers: NI (PT) SI Thermal Stability Improvement by Carbon Implantation. ECS Transactions 10/2008; 13(1)., DOI:10.1149/1.2911522140. C. Zhao, J. Y. Ahn, N. Horiguchi, S. Demuynck, Zs. Tőkei: A DRAM compatible Cu contact using self-aligned Ta-silicide and Ta-barrier. Microelectronic Engineering 10/2008; 85(10):2009-2012., DOI:10.1016/j.mee.2008.03.021141. J. Van Olmen, A. Al-Bayati, G. Beyer, P. Boelen, L. Carbonell, K. Chan, I. Ciofi, M. Claes, A. Cockburn, G. Druais, D. Hendrickx, N. Heylen, E. Kesters, S. Lytle, A. Noori, K. Shah, M. Op De Beeck, H. Struyf, Zs. Tokei, J. Versluijs, C. Zhao: Integration of 50nm half pitch single damascene copper trenches in black diamond® II by means of double patterning 193nm immersion lithography on metal hardmask. 142. C. Zhao, Zs. Tőkei, A. Haider, S. Demuynck: Failure mechanisms of PVD Ta and ALD TaN barrier layers for Cu contact applications. Microelectronic Engineering 11/2007; 84(11):2669-2674., DOI:10.1016/j.mee.2007.05.061143. H. Volders, Z. Tokei, H. Bender, B. Brijs, R. Caluwaerts, L. Carbonell, T. Conard, C. Drijbooms, A Franquet, S. Garaud, I. Hoflijk, A Moussa, F. Sinapi, Y Travaly, D. Vanhaeren, G. Vereecke, C. Zhao, W.-M. Li, H. Sprey, A. M. Jonas: Materials characterization of WNxCy, WNx and WCx films for advanced barriers. Microelectronic Engineering 11/2007; 84(11-11):2460-2465., DOI:10.1016/j.mee.2007.05.023144. C. Adelmann, P. Lehnen, S. Van Elshocht, C. Zhao, B. Brijs, A. Franquet, T. Conard, M. Roeckerath, J. Schubert, O. Boissière, C. Lohe, S. De Gendt: Growth of Dysprosium‐, Scandium‐, and Hafnium‐based Third Generation High‐κ Dielectrics by Atomic Vapor Deposition. Chemical Vapor Deposition 10/2007; 13(10):567 - 573., DOI:10.1002/cvde.200706604145. D. P. Brunco, A. Dimoulas, N. Boukos, M. Houssa, T. Conard, K. Martens, C. Zhao, F. Bellenger, M. Caymax, M. Meuris, M. M. Heyns: Materials and electrical characterization of molecular beam deposited CeO2 and CeO2/HfO2 bilayers on germanium. Journal of Applied Physics 07/2007; 102(2):024104-024104-8., DOI:10.1063/1.2756519146. Christoph Adelmann, Sven Van Elshocht, Peer Lehnen, Thierry Conard, Alexis Franquet, Chao Zhao, Lars-Ake Ragnarsson, Vincent Chang, Hag-Ju Cho, Yu Hong-Yu, Stefan De Gendt: DyScHfOx as High-κ Gate Dielectrics: Structural and Electrical Properties. ECS Transactions 04/2007; 6(1)., DOI:10.1149/1.2727394147. C. Zhao, T. Witters, P. Breimer, J. Maes, M. Caymax, S. De Gendt: Properties of ALD HfTa x O y high- k layers deposited on chemical silicon oxide. Microelectronic Engineering 01/2007; 84(1):7-10., DOI:10.1016/j.mee.2006.07.003148. Xiaoping Shi, Marc Schaekers, Frederik Leys, Roger Loo, Matty R. Caymax, Stephan Brus, Chao Zhao, Bruno Lamare, Egbert Woelk, Deo Shenai: The Deposition of Polycrystalline SiGe with Different Ge Precursors. ECS Transactions 10/2006; 3(7)., DOI:10.1149/1.2355880149. C. Zhao, T. Schram, A Van Ammel, T. Conard, Stefan De Gendt, N. Yamada, T -A Tang, G -P Ru, Y -L Jiang: Materials and thermal stability of tantalum carbide layers for metal gate applications. DOI:10.1109/ICSICT.2006.306266150. Nausikaa Van Hoornick, Hilde De Witte, Thomas Witters, Chao Zhao, Thierry Conard, Hannu Huatori, Johan Swerts, Tom Schram, Jan Willem Maes, Stefan De Gendt, Marc Heyns: Evaluation of Nb(Si)N as Metal Gate Material. ECS Transactions 07/2006; 1(5)., DOI:10.1149/1.2209299151. Chao Zhao, Tassilo Heeg, Martin Wagner, Jurgen Schubert, Thomas Witters, Bert Brijs, Hugo Bender, Olivier Richard, Valeri Afanas'ev, Michel Houssa, Matty Caymax, Stefan De Gendt: Rare-Earth Metal Scandate High-k Layers. ECS Transactions 07/2006; 1(5)., DOI:10.1149/1.2209266152. Marc Schaekers, Annemie Van Ammel, Youssef Travaly, Annelies Delabie, Ana Martin Hoyas, Chao Zhao: Implementation of Atomic Layer Deposition in Advanced Semiconductor Processes. ECS Transactions 07/2006; 1(10)., DOI:10.1149/1.2209325153. Martine Claes, Vasile Paraschiv, Dries Dictus, Thierry Conard, Annelies Delabie, Sven Van Elshocht, Chao Zhao, J.-L. Everaert, Werner Boullart, Serge Vanhaelemeersch, Stefan De Gendt: Wet Etch Characteristics of Hafnium Silicate Layers. Journal of The Electrochemical Society 07/2006; 153(4-4):F60-F67., DOI:10.1149/1.2171821154. N. Van Hoornick, H. De Witte, T. Witters, C. Zhao, T. Conard, H. Huotari, J. Swerts, T. Schram, J. W. Maes, S. De Gendt, M. Heyns: Evaluation of Atomic Layer Deposited NbN and NbSiN as Metal Gate Materials. 05/2006; 153(5):G437-G442., DOI:10.1149/1.2181430155. M. Wagner, T. Heeg, J Schubert, St. Lenk, S. Mantl, C Zhao, M. Caymax, M. A. De Gendt: Gadolinium scandate thin films as an alternative gate dielectric prepared by electron beam evaporation. Applied Physics Letters 04/2006; 88(17)., DOI:10.1063/1.2198103156. M. Wagner, T. Heeg, J. Schubert, C. Zhao, O. Richard, M. Caymax, V.V. Afanas’ev, S. Mantl: Preparation and characterization of rare earth scandates as alternative gate oxide materials. Solid-State Electronics 01/2006; 50(1-50):58-62., DOI:10.1016/j.sse.2005.10.036157. Martin Wagner, T. Heeg, J. Schubert, St. Lenk, C. Zhao, M. Caymax, S. Mantl: Preparation and Characterization of Rare Rarth Scandate Thin Films as an Alternative gate dielectric. MRS Online Proceeding Library Archive 01/2006; 917., DOI:10.1557/PROC-0917-E05-10158. Johan Swerts, Wim Deweerd, Chang-gong Wang, Yanina Fedorenko, Annelies Delabie, Eric Shero, Chao Zhao, Jan Willem Maes, Stefan De Gendt: Highly Scalable ALD-deposited Hafnium Silicate Gate Stacks for Low Standby Power Applications. MRS Online Proceeding Library Archive 01/2006; 917., DOI:10.1557/PROC-0917-E11-04159. L.C. Nistor, O. Richard, C. Zhao, H. Bender, G. Van Tendeloo: Thermal Stability of Atomic Layer Deposited Zr:Al Mixed Oxide Thin Films: An in Situ Transmission Electron Microscopy Study. 07/2005; 20(07):1741 - 1750., DOI:10.1557/JMR.2005.0217160. V. V. Afanas'ev, A. Stesmans, C. Zhao, M. Caymax, Z. M. Rittersma, J. W. Maes: Band alignment between (100)Si and Hf-based complex metal oxides. Microelectronic Engineering 06/2005; 80(1):102-105., DOI:10.1016/j.mee.2005.04.050161. C Zhao, T. Witters, B. Brijs, H Bender, O. Richard, M. Caymax, T. Heeg, J Schubert, V.V. Afanas'ev, A. Stesmans, D. G. Schlom: Ternary rare-earth metal oxide High-k layers on silicon oxide.Appl. Applied Physics Letters 03/2005; 86(13)., DOI:10.1063/1.1886249162. Annelies Delabie, Riikka L. Puurunen, Bert Brijs, Matty Caymax, Thierry Conard, Bart Onsia, Olivier Richard, Wilfried Vandervorst, Chao Zhao, Marc M. Heyns, Marc Meuris, Minna M. Viitanen, Hidde H. Brongersma, Marco De Ridder, Lyudmila V. Goncharova, Eric Garfunkel, Torgny Gustafsson, Wilman Tsai: Atomic layer deposition of hafnium oxide on germanium substrates. Journal of Applied Physics 03/2005; 97(6)., DOI:10.1063/1.1856221163. V. V. Afanas'ev, A. Stesmans, C. Zhao, M. Caymax, Z. M. Rittersma, J. W. Maes: Band alignment at the interface of (100) Si with Hfx Ta1-x Oy high- κ dielectric layers. Applied Physics Letters 02/2005; 86(7)., DOI:10.1063/1.1866640164. Riikka L. Puurunen, Annelies Delabie, Sven Van Elshocht, Matty Caymax, Martin L. Green, Bert Brijs, Olivier Richard, Hugo Bender, Thierry Conard, Ilse Hoflijk, Wilfried Vandervorst, David Hellin, Danielle Vanhaeren, Chao Zhao, Stefan De Gendt, Marc Heyns: Hafnium oxide films by atomic layer deposition for high-κ gate dielectric applications: Analysis of the density of nanometer-thin films. Applied Physics Letters 02/2005; 86(7):073116-073116-3., DOI:10.1063/1.1866219165. M Meuris, A Delabie, S Van Elshocht, S Kubicek, P Verheyen, B De Jaeger, J Van Steenbergen, G Winderickx, E Van Moorhern, RL Puurunen, B Brijs, M Caymax, T Conard, O Richard, W Vandervorst, C Zhao, S De Gendt, T Schram, T Chiarella, B Onsia, I Teerlinck, M Houssa, PW Mertensa, G Raskin, P Mijlemans, S Biesemans, MM Heyns: The future of high-K on pure germanium and its importance for GeCMOS. Materials Science in Semiconductor Processing 02/2005; 8(1-3-1-3):203-207., DOI:10.1016/j.mssp.2004.09.124166. C. Zhao, Z.M. Rittersma, J.G.M. Van Berkum, J.H.M. Snijders, A. Hendriks, P. Breimer, P. Great, J.W. Maes, H. Witters, V.V. Afanas'ev, E. Tois, M. Tuominen, M. Caymax, S. De Gendt, M. Heyns: Properties of HfTaxOy high-k layers deposited by ALCVD. 167. Jozef Vleugels, Chao Zhao, Omer Van der Biest: Toughness Enhancement of Al 2 O 3 /Ce-TZP Functionally Graded Materials by Annealing in Inert Atmosphere. Materials Science Forum 01/2005; 492-493:699-704., DOI:10.4028/www.scientific.net/MSF.492-493.699168. V. V. Afanas’ev, A. Stesmans, C. Zhao, M. Caymax, Z. M. Rittersma, J. W. Maes: Band alignment between (1 0 0)Si and Hf-based complex metal oxides. 169. Jef Vleugels, Chao Zhao, Omer Van Der Biest: Toughness Enhancement of Al 2O3/Ce-TZP Functionally Graded Materials by Annealing in Inert Atmosphere. Materials Science Forum 01/2005; 492.170. Sven Van Elshocht, A Delabie, B. Brijs, Matty Caymax, Thierry Conard, Bart Onsia, Riikka Puurunen, Olivier Richard, Jan Van Steenbergen, Chao Zhao, Marc Meuris, Marc M. Heyns: Surface Preparation Techniques for High-k Deposition on Ge Substrates. Solid State Phenomena 01/2005; 103-104:31-4., DOI:10.4028/www.scientific.net/SSP.103-104.31171. V. V. Afanas'ev, A. Stesmans, C Zhao, M. Caymax, T. Heeg, J Schubert, Y Jia, D. G. Schlom, G. Lucovsky: Band alignment between (100)Si and complex rare earth/transition metal oxides. Applied Physics Letters 12/2004; 85(24)., DOI:10.1063/1.1829781172. Riikka L. Puurunen, Wilfried Vandervorst, Wim F. A. Besling, Olivier Richard, Hugo Bender, Thierry Conard, Chao Zhao, Annelies Delabie, Matty Caymax, Stefan De Gendt, Marc Heyns, Minna M. Viitanen, Marco de Ridder, Hidde H. Brongersma, Yde Tamminga, Thuy Dao, Toon de Win, Marcel Verheijen, Monja Kaiser, Marko Tuominen: Island growth in the atomic layer deposition of zirconium oxide and aluminum oxide on hydrogen-terminated silicon: Growth mode modeling and transmission electron microscopy. Journal of Applied Physics 11/2004; 96(9):4878-4889., DOI:10.1063/1.1787624173. M. Claes, S. De Gendt, T. Witters, V. Kaushik, T. Conard, C. Zhao, Y. Manabe, A. Delabie, E. Rohr, J. Chen, W. Tsai, M. M. Heynsa: Effect of Postdeposition Anneal Conditions on Defect Density of HfO2 Layers Measured by Wet Etching. 11/2004; 151(11):F269-F275., DOI:10.1149/1.1802134174. S. Van Elshocht, B. Brijs, M. Caymax, T. Conard, T. Chiarella, S. De Gendt, B. De Jaeger, S. Kubicek, M. Meuris, B. Onsia, O. Richard, I. Teerlinck, J. Van Steenbergen, C. Zhao, M. Heyns: Deposition of HfO2 on germanium and the impact of surface pretreatments. Applied Physics Letters 10/2004; 85(17):3824-3826., DOI:10.1063/1.1810642175. J. Vleugels, C. Zhao, O. Van Der Biest: Toughness Enhancement of Ce-TZP by Annealing in Argon. Scripta Materialia 03/2004; 50(5):679-683., DOI:10.1016/j.scriptamat.2003.11.017176. S. Van Elshocht, B. Brijs, M. Caymax, T. Conard, S. De Gendt, S. Kubicek, M. Meuris, B. Onsia, O. Richard, I. Teerlinck, J. Van Steenbergen, C. Zhao, M. Heyns: Physical characterization of HfO2deposited on Ge substrates by MOCVD.. MRS Online Proceeding Library Archive 01/2004; 811., DOI:10.1557/PROC-811-D5.4/B5.4177. S Van Elshocht, M Baklanov, B Brijs, R Carter, M Caymax, L Carbonell, M Claes, T Conard, V Cosnier, L Date, S De Gendt, J Kluth, D Pique, O Richard, D Vanhaeren, G Vereecke, T Witters, C Zhao, M Heyns: Bulk properties of MOCVD-deposited HfO2 layers fair high k dielectric applications. Journal of The Electrochemical Society 01/2004; 151(10):F228-F234.178. D Hellin, T Bearda, C Zhao, G Raskin, P.W Mertens, S De Gendt, M.M Heyns, C Vinckier: Determination of metallic contaminants on Ge wafers using direct- and droplet sandwich etch-total reflection X-ray fluorescence spectrometry. Spectrochimica Acta Part B Atomic Spectroscopy 12/2003; 58(12-58):2093-2104., DOI:10.1016/j.sab.2003.05.001179. W. Tsai, R.J. Carter, H. Nohira, M. Caymax, T. Conard, V. Cosnier, S. DeGendt, M. Heyns, J. Petry, O. Richard, W. Vandervorst, E. Young, C. Zhao, J. Maes, M. Tuominen, W.H. Schulte, E. Garfunkel, T. Gustafsson: Surface preparation and interfacial stability of high-k dielectrics deposited by atomic layer chemical vapor deposition. Microelectronic Engineering 03/2003; 65(3-65):259-272., DOI:10.1016/S0167-9317(02)00898-5180. T Conard, W Vandervorst, J Petry, C Zhao, W Besling, H Nohira, O Richard: TOF-SIMS as a rapid diagnostic tool to monitor the growth mode of thin (high k) films. Applied Surface Science 01/2003; 203., DOI:10.1016/S0169-4332(02)00688-8181. C. Zhao, S. Van Elshocht, T. Conard, Z. Xu, O. Richard, M. Caymax, S. De Gendt, M. Heyns: HfOxNy and HfSixOyNz high-k layers deposited by MOCVD in mixed gas flows of N2O and O2. 182. C. Zhao, B. Brijs, F. Dortu, S. DeGendt, M. Caymax, M. Heyns, W. Besling, J.W. Maes: Application of X-ray fluorescence spectrometry in characterization of high-k ultra-thin films. Proceedings of SPIE - The International Society for Optical Engineering 01/2003; 5133:243-251.183. S. Van Elshocht, M. Caymax, S. De Gendt, T. Conard, J. Pétry, M. Claes, T. Witters, C. Zhao, B. Brijs, O. Richard, H. Bender, W. Vandervorst, R. Carter, J. Kluth, L. Daté, D. Pique, M. M. Heyns, M I Gardner, J -P Maria, S Stemmer: Growth and Physical Properties of MOCVD-Deposited Hafnium Oxide Films and Their Properties on Silicon. MRS Online Proceeding Library Archive 01/2003; 745:197-202., DOI:10.1557/PROC-745-N5.15184. A Delabie, M Caymax, J W Maes, P Bajolet, B Brijs, E Cartier, T Conard, S De Gendt, O Richard, W Vandervorst, C Zhao, M Green, W Tsai, M M Heyns, M I Gardner, J -P Maria, S Stemmer: ALD HfO surface preparation study. 185. A Delabie, M Caymax, J W Maes, P Bajolet, B Brijs, E Cartier, T Conard, S De Gendt, O Richard, W Vandervorst, C Zhao, M Green, W Tsai, M M Heyns: ALD HfO 2 surface preparation study. 186. Matty Caymax, H. Bender, B. Brijs, T. Conard, S. DeGendt, A. Delabie, M. Heyns, B. Onsia, L. Ragnarsson, O. Richard, W. Vandervorst, S. Van Elshocht, C. Zhao, J.W. Maes, L. Daté, D. Pique, E. Young, W. Tsai, Y. Shimamoto: High-k Materials for Advanced Gate Stack Dielectrics: a Comparison of ALCVD and MOCVD as Deposition Technologies. DOI:10.1557/PROC-765-D2.6187. V. V. Afanas’ev, A. Stesmans, B. J. Mrstik, C. Zhao: Impact of annealing-induced compaction on electronic properties of atomic-layer-deposited Al2O3. Applied Physics Letters 08/2002; 81(9):1678-1680., DOI:10.1063/1.1501163188. M. CAYMAX, S. DE GENDT, W. VANDERVORST, M. HEYNS, H. BENDER, R. CARTER, T. CONARD, R. DEGRAEVE, G. GROESENEKEN, S. KUBICEK, G. LUJAN, L. PANTISANO, J. PETRY, E. ROHR, S. VAN ELSHOCHT, C. ZHAO, E. CARTIER, J. CHEN, V. COSNIER, S. E. JANG, V. KAUSHIK, A Kerber, J. KLUTH, S. LIN, W. TSAI, E. YOUNG, A Manabe: Issues, achievements and challenges towards integration of high-k dielectrics. International Journal of High Speed Electronics and Systems 06/2002; 12(02-2):295-304., DOI:10.1142/9789812796912_0005189. W.F.A. Besling, E. Young, T. Conard, C. Zhao, R. Carter, W. Vandervorst, M. Caymax, S. De Gendt, M. Heyns, J. Maes, M. Tuominen, Suvi Haukka: Characterisation of ALCVD Al2O3–ZrO2 nanolaminates, link between electrical and structural properties. Journal of Non-Crystalline Solids 05/2002; 303(1):123-133., DOI:10.1016/S0022-3093(02)00969-9190. C Zhao, O Richard, E Young, H Bender, G Roebben, S Haukka, S De Gendt, M Houssa, R Carter, W Tsai, O Van, Der Biest, M Heyns: Thermostability of amorphous zirconium aluminate high-k layers. Journal of Non-Crystalline Solids 05/2002; 303(1)., DOI:10.1016/S0022-3093(02)00977-8191. C Zhao, O Richard, H Bender, M Caymax, S De Gendt, M Heyns, E Young, G Roebben, O Van Der Biest, S Haukka: Miscibility of amorphous ZrO2-Al2O3 binary alloy. Applied Physics Letters 04/2002; 80(13-13):2374-2376., DOI:10.1063/1.1459765192. V. S. Kaushik, S. DeGendt, R. Carter, M. Claes, E. Rohr, L. Pantisano, J. Kluth, A. Kerber, V. Cosnier, E. Cartier, W. Tsai, E. Young, M. Green, J. Chen, S-A. Jang, S. Lin, A. Delabie, S. V. Elshocht, Y. Manabe, O. Richard, C. Zhao, H. Bender, M. Caymax, M. Heyns: The Influence of Defects on Compatibility and Yield of the HfO2-PolySilicon Gate Stack for CMOS Integration. MRS Online Proceeding Library Archive 01/2002; 747., DOI:10.1557/PROC-747-T6.7/N8.7193. Gert Roebben, Chao Zhao, Ren-Guan Duan, Jozef Vleugels, Marc M. Heyns, Omer Van der Biest: In-Situ High Temperature Study of Ceramics and Ceramic Ultra-Thin Films Using a X-Ray Diffractometer with a Parabolic Multilayer Mirror. Key Engineering Materials 01/2002; 206-213:775-778., DOI:10.4028/www.scientific.net/KEM.206-213.775194. Chao Zhao, Gert Roebben, Marc M. Heyns, Omer Van der Biest: Crystallisation and Tetragonal-Monoclinic Transformation in ZrO 2 and HfO 2 Dielectric Thin Films. Key Engineering Materials 01/2002; 206-213:1285-1288., DOI:10.4028/www.scientific.net/KEM.206-213.1285195. C. Zhao, V. Cosnier, P. J. Chen, O. Richard, G. Roebben, J. Maes, S. Van Elshocht, H. Bender, E Young, O. Van Der Biest, M. Caymax, W. Vandervorst, S. De Gendt, M. Heyns: Thermal Stability of High k Layers. MRS Online Proceeding Library Archive 01/2002; 745., DOI:10.1557/PROC-745-N1.5196. R. J. Carter, W. Tsai, E. Young, M. Caymax, J. W. Maes, P. J. Chen, A. Delabie, C. Zhao, S. DeGendt, M. Heyns: Effect of Al-content and Post Deposition Annealing on the Electrical Properties of Ultra-thin HfAlxOy Layers. MRS Online Proceeding Library Archive 01/2002; 745., DOI:10.1557/PROC-745-N2.3197. Annelies Delabie, M. Caymax, J. W. Maes, P. Bajolet, B. Brijs, E. Cartier, T. Conard, S. De Gendt, O. Richard, W. Vandervorst, C. Zhao, M. Green, W. Tsai, M. M. Heyns: ALD HfO2 surface preparation study. MRS Online Proceeding Library Archive 01/2002; 745., DOI:10.1557/PROC-745-N5.11198. C. Zhao, G. Roebben, H. Bender, E. Young, S. Haukka, M. Houssa, M. Naili, S. De Gendt, M. Heyns, O. Van Der Biest: In situ crystallisation in ZrO2 thin films during high temperature X-ray diffraction. Microelectronics Reliability 07/2001; 41(7):995-998., DOI:10.1016/S0026-2714(01)00055-5199. M. Houssa, M. Naili, C. Zhao, H. Bender, M.M. Heyns, A. Stesmans: Effect of O2 post-deposition anneals on the properties of ultra-thin SiO [iopmath latex="$_{x}$"] x [/iopmath] /ZrO2 gate dielectric stacks. Semiconductor Science and Technology 01/2001; 16(1).200. M Houssa, M Naili, C Zhao, H Bender, M M Heyns, A Stesmans: Effect of O2 post-deposition anneals on the properties of ultra-thin SiOx/ZrO2 gate dielectric stacks. Semiconductor Science and Technology 12/2000; 16(1):31., DOI:10.1088/0268-1242/16/1/306201. C. Zhao, J. Vleugels, B. Basu, O. Van Der Biest: High Toughness Ce-TZP by Sintering in an Inert Atmosphere. Scripta Materialia 11/2000; 43(11):1015-1020., DOI:10.1016/S1359-6462(00)00529-7202. C. ZHAO, J. VLEUGELS, C. GROFFILS, P. J. LUYPAERT, O Van Der Biest: Hybrid Sintering With a Tubular Susceptor in a Cylindrical Single-Mode Microwave Furnace. Acta Materialia 09/2000; 48(14):3795-3801., DOI:10.1016/S1359-6454(00)00160-9203. C. Zhao, L. vandeperre, J. Vleugels, O. van der Biest: Cylindrical Al2O3 /TZP functionally graded materials by EPD. British Ceramic Transactions 06/2000; 99(6):284-287., DOI:10.1179/096797800681018204. L. Vandeperre, C. Zhao, O. Van Der Biest: Correlation between surface charge of a powder in water and electrophoretic deposition from non-aqueous media. Novel chemistry and processing of ceramics. 205. Chao Zhao, Konstantza Lambrinou, Omer Van Der Biest: Hot pressing ‘window’ for (P2O5, B2O3)-containing magnesium aluminosilicate reinforced with SiC fibres. Journal of Materials Science 04/1999; 34(8):1865-1871., DOI:10.1023/A:1004579713495206. C. Zhao, Jozef Vleugels, L. Vandeperre, B. Basu, Omer Van der Biest: Graded Tribological Materials Formed by Electrophoresis. Materials Science Forum 01/1999; 308-311:95-100., DOI:10.4028/www.scientific.net/MSF.308-311.95207. C. Zhao, J. Vleugels, L. Vandeperre, B. Basu, O. Van Der Biest: Y-TZP/Ce-TZP functionally graded composite. Journal of Materials Science Letters 09/1998; 17(17):1453-1455., DOI:10.1023/A:1026418216043208. M Heyns, H Bender, R Carter, M Caymax, T Conard, S De Gendt, R Degraeve, H De Witte, G Groeseneken, S Haukka, K Henson, M Houssa, S Kubicek, J W Maes, M Naili, H Nohira, W Tsai, M Tuominen, W Vandervorst, R Wilhelm, E Young, C Zhao: High-k dielectric materials High-k dielectric materials prepared by Atomic Layer CVD prepared by Atomic Layer CVD. 209. W. Tsai, R. J. Carter, H. Nohira, M. Caymax, T. Conard, V. Cosnier, S. DeGendt, M. Heyns, J. Petry, O. Richard, W. Vandervorst, C. Zhao: E. Young. 210. Wenlong Cai, Kaihua Cao, Mengxing Wang, Shouzhong Peng, Jiaqi Zhou, Anni Cao, Boyu Zhang, Lezhi Wang, Yu Zhang, Jiaqi Wei, Xiaobin He, Hushan Cui, Chao Zhao, Weisheng Zhao: Interfacial property tuning of heavy metal/CoFeB for large density STT-MRAM. 2017 17th Non-Volatile Memory Technology Symposium (NVMTS); 08/2017, DOI:10.1109/NVMTS.2017.8171303211. Liang He, Eddy Simoen, Cor Claeys, Guilei Wang, Jun Luo, Chao Zhao, Junfeng Li, Hua Chen, Yin Hu, Xiaoting Qin: Low frequency noise characterization of 22nm PMOS featuring with filling W gate using different precursors. 2017 China Semiconductor Technology International Conference (CSTIC); 03/2017, DOI:10.1109/CSTIC.2017.7919856212. Zhiqian Zhao, Xiaolei Wang, Jing Zhang, Chao Zhao, Tianchun Ye, Wenwu Wang: Experimental investigation on growth mechanism of GeOx layer formed by plasma post oxidation based on angle resolved X-ray photoelectron spectroscopy. 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM); 02/2017, DOI:10.1109/EDTM.2017.7947554213. Xiaolei Wang, Jinjuan Xiang, Chao Zhao, Tianchun Ye, Wenwu Wang: Oxidation mechanism and surface passivation of Germanium by ozone. 2017 IEEE Electron Devices Technology and Manufacturing Conference (EDTM); 02/2017, DOI:10.1109/EDTM.2017.7947552214. Qingzhu Zhang, Huaxiang Yin, Jun Luo, Hong Yang, Lingkuan Meng, Yudong Li, Zhenhua Wu, Yanbo Zhang, Yongkui Zhang, Changliang Qin, Junjie Li, Jianfeng Gao, Guilei Wang, Wenjuan Xiong, Jinjuan Xiang, Zhangyu Zhou, Shujian Mao, Gaobo Xu, Jinbiao Liu, Yang Qu, Tao Yang, Junfeng Li, Qiuxia Xu, Jiang Yan, Huilong Zhu, Chao Zhao, Tianchun Ye: FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting (IEDM); 09/2016, DOI:10.1109/IEDM.2016.7838438215. Yu Teng, Hushan Cui, Xiaobin He, Junjie Li, Jianghao Han, Qifeng Jiang, Xiaoyan Liu, Chao Zhao, Yi Wu: Damage free removal of nano-particles with dual-fluid spray nozzle cleaning. 2016 China Semiconductor Technology International Conference (CSTIC); 03/2016, DOI:10.1109/CSTIC.2016.7463993216. Guangxing Wan, Tianli Duan, Shuxiang Zhang, Lingli Jiang, Bo Tang, Chao Zhao, Huilong Zhu, HongYu Yu: Overshoot stress impact on HfO2 high-κ layer dynamic SILC. 2015 IEEE 11th International Conference on ASIC (ASICON ); 11/2015, DOI:10.1109/ASICON.2015.7516943217. E. Simoen, C. Claeys, W. Fang, J. Luo, C. Zhao: Implications of inelastic tunneling on the depth of oxide traps in MOSFETs assessed by RTS or BTI. 2015 International Conference on Noise and Fluctuations (ICNF); 06/2015, DOI:10.1109/ICNF.2015.7288543218. W. Fang, J. Luo, C. Zhao, E. Simoen, H. Arimura, J. Mitard, A. Thean, C. Claeys: Low-frequency noise study of Ge p-MOSFETs with HfO2/Al2O3/GeOx gate stack. 2015 International Conference on Noise and Fluctuations (ICNF); 06/2015, DOI:10.1109/ICNF.2015.7288550219. Yu Zhang, Jun Lao, Yangsong Liu, Minquan Li, Chao Zhao, Chengjun Huang: Electrical detection of single particle in cylindrical solid-state nanopores. Electron Devices and Solid-State Circuits (EDSSC), 2015 IEEE International Conference on; 06/2015, DOI:10.1109/EDSSC.2015.7285122220. JB Liu, J Luo, JF Li, C Chen, Guilei Wang, T. Chen, T.T. Li, J. Zhong, D.P. Wu, P. Xu, C. Zhao: Co-implantation with microwave annealing for phosphorous shallow-junction formation in Germanium. Ion Implantation Technology (IIT), 2014 20th International Conference on; 06/2014, DOI:10.1109/IIT.2014.6939956221. C. Zhao, H. Yang, C. Li, T. Yang, H. Cui, Y. Zhang, Z. Zhao, J. Liu, P. Hong, L. Meng, T. Li, J. Li, X. He, H. Yin: Processing Challenges of CMOS Integration of Finfets with All-Last Gate Stacks. 225th ECS Meeting; 05/2014222. Yayi Wei, Chao Zhao, Tianchun Ye: Analysis of mix-and-match litho approach for manufacturing 20NM logic node products. SPIE Advanced Lithography; 03/2014, DOI:10.1117/12.2046095223. Gaobo Xu, Qiuxia Xu, Huaxiang Yin, Huajie Zhou, Guilei Wang, Chunlong Li, Jinbiao Liu, Junjie Li, Wenjuan Xiong, Dahai Wang, Junfeng Li, Chao Zhao: Study of Si Green Transistor with an Ultra-Shallow Pocket Junction. China Semiconductor Technology International Conference; 03/2014, DOI:10.1149/06001.0085ecst224. Guilei Wang, Qiang Xu, Tao Yang, Jun Luo, Jinjuan Xiang, Jing Xu, Gaobo Xu, Chunlong Li, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen, Tianchun Ye: Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. 224th ECS Meeting; 11/2013, DOI:10.1149/05810.0317ecst225. Tao Yang, Guilei Wang, Qiang Xu, Yihong Lu, Jiahan Yu, Hushan Cui, Jiang Yan, Junfeng Li, Chao Zhao: ALD W CMP for HKMG. 224th ECS Meeting; 10/2013, DOI:10.1149/05809.0049ecst226. Xiaodong Tong, Hao Wu, Lichuan Zhao, Ming Wang, Huicai Zhong, Chao Zhao: A Vertically Integrated Capacitorless DRAM Cell. 224th ECS Meeting; 10/2013, DOI:10.1149/05825.0001ecst227. Jinjuan Xiang, Guilei Wang, Tingting Li, Hushan Cui, Xiaolei Wang, Gaobo Xu, Junfeng Li, Wenwu Wang, Chao Zhao: Effect of Precursor Entrance Sequence during Atomic Layer Deposition on the Al2O3/Ge Interface by X-ray Photoelectron Spectroscopy. 224th ECS Meeting; 10/2013, DOI:10.1149/05807.0153ecst228. Hushan Cui, Jing Xu, Jianfeng Gao, Jinjuan Xiang, Yihong Lu, Zhaoyun Tang, Xiaobin He, Tingting Li, Jun Luo, Xiaolei Wang, Bo Tang, Jiahan Yu, Tao Yang, Jiang Yan, Junfeng Li, Chao Zhao: Evaluation of TaN as the wet etch stop layer during the 22nm HKMG gate last CMOS integrations. 224th ECS Meeting; 10/2013, DOI:10.1149/05806.0111ecst229. S.K. Wang, X.L. Wang, L. Han, W. Zhao, B. Sun, W.W. Wang, C. Zhao, H.G. Liu: Modified Deal-Grove model for the thermal oxidation of Ge and Al2O3 capped Ge. 2013 International Conference on Solid State Devices and Materials; 09/2013, DOI:10.7567/SSDM.2013.B-4-3230. Weichun Luo, Hong Yang, Wenwu Wang, Hao Xu, Shangqing Ren, Bo Tang, Zhaoyun Tang, Jing Xu, Jiang Yan, Chao Zhao, Dapeng Chen, Ye Tianchun: Channel Hot-Carrier degradation characteristics and trap activities of high-k/metal gate nMOSFETs. Physical and Failure Analysis of Integrated Circuits (IPFA), 2013 20th IEEE International Symposium on the; 07/2013, DOI:10.1109/IPFA.2013.6599248231. Gaobo Xu, Qiuxia Xu, Huaxiang Yin, Huajie Zhou, Tao Yang, Jiebin Niu, Lingkuan Meng, Xiaobin He, Guilei Wang, Yu Jiahan, Dahai Wang, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen: High-quality HfSiON gate dielectric and its application in a gate-last NMOSFET fabrication. Electron Devices and Solid-State Circuits (EDSSC), 2013 IEEE International Conference of; 06/2013, DOI:10.1109/EDSSC.2013.6628205232. Gaobo Xu, Qiuxia Xu, Huajie Zhou, Jianfeng Gao, Jinjuan Xiang, Jinbiao Liu, Wenjuan Xiong, Yihong Lu, Guilei Wang, Junfeng Li, Chao Zhao, Dapeng Chen: Characteristics of HfLaON/SiO2 Gate Stack prepared using Reactive Sputtering. China Semiconductor Technology International Conference; 03/2013, DOI:10.1149/05201.0403ecst233. X.L. Wang, S.K. Wang, J. Zhang, W.W. Wang, H.G. Liu, J. Yan, C. Zhao, D.P. Chen, T.C. Ye: Dependence of Band Alignment and Interfacial Suboxide GeOx Thickness of Thermal GeO2/Ge Stacks on GeO2 Thickness by X-ray Photoelectron Spectroscopy. 2013 International Conference on Solid State Devices and Materials; 01/2013, DOI:10.7567/SSDM.2013.PS-1-8234. Jian Deng, Jun Luo, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Hanming Wu: A more CMOS process compatible scheme to tune the Schottky Barrier Height of NiSi to electrons by means of dopant segregation (DS) technique. Solid-State and Integrated Circuit Technology (ICSICT), 2012 IEEE 11th International Conference on; 10/2012, DOI:10.1109/ICSICT.2012.6467834235. Xueli Ma, Xiaolei Wang, Kai Han, Wenwu Wang, Hong Yang, Chao Zhao, Dapeng Chen, Tianchun Ye: Remote Scavenging Technology Using Ti/TiN Capping Layer Interposed in a Metal/High-k Gate Stack. Symposium on Dielectric Materials and Metals for Nanoelectronics and; 01/2012, DOI:10.1149/05004.0285ecst236. Huaxiang Yin, Lingkuan Men, Tao Yang, Gaobo Xu, Qiuxia Xu, Chao Zhao, Dapeng Chen: CMP-Less Planarization Technology with SOG/LTO Etchback for Low Cost 70nm Gate-Last Process. China Semiconductor Technology International Conference 2011 (CSTIC 2011); 01/2011, DOI:10.1149/1.3567668237. C. S. Liu, H. C. Chen, T. I. Bao, J. VanOlmen, K. Croes, E. VanBesien, M. Pantouvaki, C. Zhao, E. Sleeckx, G. Beyer, C. H. Yu: Self Aligned CuGeN Process for 32/22nm Nodes and Beyond. Interconnect Technology Conference, 2008. IITC 2008. International; 07/2008, DOI:10.1109/IITC.2008.4546966238. S. Demuynck, Zs. Tokei, C Zhao, J. F. de Marneffe, H. Struyf, W. Boullart, M. Op de Beeck, L. Carbonell, N. Heylen, J. Vaes, G.P. Beyer, S. Vanhaelemeersch, R. Sadjadi, H Zhu, P. Cirigliano, J.S. Kim, J. Vertommen, B. Coenegrachts, E. Pavel, A. Athayde: Novel patterning shrink technique enabling sub-50 nm trench and contact integration. Semiconductor Manufacturing, 2007. ISSM 2007. International Symposium on; 11/2007, DOI:10.1109/ISSM.2007.4446855239. H.Y. Yu, R. Singanamalla, K. Opsomer, E. Augendre, E. Simoen, J.A. Kittl, S. Kubicek, S. Severi, X.P. Shi, S. Brus, C. Zhao, J.F. de Marneffe, S. Locorotondo, D. Shamiryan, M. Van Dal, A. Veloso, A. Lauwers, M. Niwa, K. Maex, K.D. Meyer, P. Absil, M. Jurczak, S. Biesemans: Demonstration of Ni fully germanoSilicide as a pFET gate electrode candidate on HfSiON. Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International; 01/2006, DOI:10.1109/IEDM.2005.1609431240. H.Y. Yu, J.D. Chen, M.F. Li, S.J. Lee, D.L. Kwong, M. van Dal, J.A. Kittl, A. Lauwers, E. Augendre, S. Kubicek, C. Zhao, H. Bender, B. Brijs, L. Geenen, A. Benedetti, P. Absil, M. Jurczak, S. Biesemans: Modulation of the Ni FUSI workfunction by Yb doping: from midgap to n-type band-edge. Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International; 01/2006, DOI:10.1109/IEDM.2005.1609429241. S. Van Elshocht, B. Brijs, M. Caymax, T. Conard, S. De Gendt, S. Kubicek, M. Meuris, B. Onsia, O. Richard, I. Teerlinck, J. Van Steenbergen, C Zhao, M. Heyns: Physical characterization of HfO2 deposited on Ge substrates by MOCVD. Semiconductor Device Research Symposium, 2003 International; 01/2004, DOI:10.1109/ISDRS.2003.1271981242. S. De Gendt, J Chen, R. Carter, E. Cartier, M. Caymax, M. Claes, T. Conard, A. Delabie, W. Deweerd, V. Kaushik, A. Kerber, S. Kubicek, J.W. Maes, M Niwa, L. Pantisano, R. Puurunen, L. Ragnarsson, T. Schram, Y Shimamoto, W. Tsai, E. Rohr, S. Van Elshocht, T. Witters, E. Young, C Zhao, M. Heyns: Implementation of high-k gate dielectrics - a status update. Gate Insulator, 2003. IWGI 2003. Extended Abstracts of International Workshop on; 12/2003, DOI:10.1109/IWGI.2003.159172243. M. Heyns, S. Beckx, H. Bender, P. Blomme, W. Boullart, B. Brijs, R. Carter, M. Caymax, M. Claes, T. Conard, S. De Gendt, R. Degraeve, A. Delabie, W. Deweerdt, G. Groeseneken, K. Henson, T. Kauerauf, S. Kubicek, L. Lucci, G. Lujan, J. Mentens, L. Pantisano, J. Petry, O. Richard, Eduardo Rohr, T. Schram, W. Vandervorst, P. Van Doorne, S. Van Elshocht, J. Westlinder, T. Witters, C. Zhao, E. Cartier, J. Chen, V. Cosnier, M. Green, S.E. Jang, V. Kaushik, A. Kerber, J. Kluth, S. Lin, W. Tsai, E. Young, Y. Manabe, Y. Shimamoto, P. Bajolet, H. De Witte, J.W. Maes, L. Date, Didier Pique, B. Coenegrachts, J. Vertommen, S. Passefort: Scaling of high-k dielectrics towards sub-1nm EOT. VLSI Technology, Systems, and Applications, 2003 International Symposium on; 02/2003, DOI:10.1109/VTSA.2003.1252599244. L Nistor, O Richard, C Zhao, H Bender, A Stesmans, G Van Tendeloo: A microstructural study of the thermal stability of atomic layer deposited Al2O3 thin films. Conference on Microscopy of Semiconducting Materials; 01/2003245. P.J. Chen, E. Cartier, R.J. Carter, T. Kauerauf, C. Zhao, J. Petry, V. Cosnier, Z. Xu, A. Kerber, W. Tsai, E. Young, S. Kubicek, M. Caymax, W. Vandervorst, S. De Gendt, M. Heyns, M. Copel, W.F.A. Besling, P. Bajolet, J. Maes: Thermal stability and scalability of Zr-aluminate-based high-k gate stacks. VLSI Technology, 2002. Digest of Technical Papers. 2002 Symposium on; 02/2002, DOI:10.1109/VLSIT.2002.1015448246. V. Cosnier, H. Bender, A. Caymax, J. Chen, T. Conard, H. Nohira, O. Richard, W. Tsai, W. Vandervorst, E. Young, C. Zhao, S. De Gendt, A. Heyns, J.W.H. Maes, M. Tuominen, N. Rochat, M. Olivier, A. Chabli: Infrared interface analysis of high-k dielectrics deposited by atomic layer chemical vapour deposition. Gate Insulator, 2001. IWGI 2001. Extended Abstracts of International Workshop on; 02/2001, DOI:10.1109/IWGI.2001.967590247. H. Bender, T. Conard, H. Nohira, J. Petry, O. Richard, C. Zhao, B. Brijs, W. Besling, C. Detavernier, W. Vandervorst, M. Caymax, S. De Gendt, J. Chen, J. Kluth, W. Tsai, J.W. Maes: Physical characterisation of high-k gate stacks deposited on HF-last surfaces. Gate Insulator, 2001. IWGI 2001. Extended Abstracts of International Workshop on; 02/2001, DOI:10.1109/IWGI.2001.967553248. Richard, H Bender, M Houssa, C Zhao: Characterisation of ALCVD ZrO2 thin films by TEM. Royal-Microscopical-Society Conference on Microscopy of Semiconducting; 01/2001
发表论文
(1) Switching of Exchange-Coupled Perpendicularly Magnetized Layers Under Spin-Orbit Torque, IEEE Transactions on Magnetics, 2018, 第 4 作者(2) Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies, Microelectronic Engineering, 2018, 第 10 作者(3) Deterministic switching of perpendicularly magnetic layers by spin orbital torque through stray field engineering, IEEE Magnetics Letters, 2017, 第 3 作者(4) : pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology., Nanoscale Research Letters, 2017, 第 10 作者(5) Charge pumping test technique using CMOS ring oscillator on leakage issue, Microelectronics Journal, 2017, 第 6 作者(6) Fabricating metal structures with taper angles and smooth sidewalls., Journal of Micromechanics and Microengineering, 2017, 第 9 作者(7) Angle-resolved x-ray photoelectron spectroscopy study of GeO x growth by plasma post-oxidation., Chinese Physics B, 2017, 第 5 作者(8) Stress-induced leakage current characteristics of PMOS fabricated by a new multi-deposition multi-annealing technique with full gate last process, Chinese Physics B, 2017, 第 10 作者(9) Negative-Capacitance Characteristics in a Steady-State Ferroelectric Capacitor Made of Parallel Domains., IEEE Electron Device Letters, 2017, 第 7 作者(10) Hole Mobility Degradation by Remote Coulomb Scattering and Charge Distribution in Al2O3/GeOx Gate Stacks in Bulk Ge pMOSFET with GeOx Grown by Ozone Oxidation, Journal of Physics D Applied Physics, 2017, 第 6 作者(11) Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs., IEEE Transactions on Electron Devices, 2017, 第 6 作者(12) Understanding the microwave annealing of silicon, AIP Advances, 2017, 第 9 作者(13) Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs, International Journal of High Speed Electronics and Systems, 2017, 第 6 作者(14) Crystallization behaviors of ultrathin Al-doped HfO 2 amorphous films grown by atomic layer deposition, Chinese Physics B, 2017, 第 7 作者(15) Hot Implantations of P into Ge: Impact on the Diffusion Profile., Journal of Applied Physics, 2017, 第 7 作者(16) Investigation of Thermal Atomic Layer Deposited TaAlC with Low Effective Work-Function on HfO 2 Dielectric Using TaCl 5 and TEA as Precursors, ECS Journal of Solid State Science and Technology,, 2017, 第 9 作者(17) High-Mobility P-Type MOSFETs with Integrated Strained-Si 0.73 Ge 0.27 Channels and High-κ/Metal Gates, Chinese Physics Letters, 2016, 第 6 作者(18) HfSiON high-k layer compatibility study with Tetramethyl ammonium hydroxide (TMAH) solution, HfSiON high-k layer compatibility study with Tetramethyl ammonium hydroxide (TMAH) solution, 2012, 第 2 作者(19) Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate, IEEE Trans. Electron Devices, 2012, 通讯作者(20) Texture Characterization of Cu Interconnects with Different Ta-based Sidewall Diffusion Barriers, Microelectronics Engineering, 2011, 第 2 作者(21) Barrier and Seed Repair Performance of Thin RuTa Films for Cu Interconnects, Microelectronic Engineering, 2011, 第 4 作者(22) High performance N- and P-type gate-all-around nanowire MOSFETs fabricated on bulk Si by COMS-compatible process, IEEE Device Research Conference (DRC), 2011, 第 4 作者(23) Thermal stability and dopant segregation for Schottky diodes with ultrathin epitaxial NiSi2-y, IEEE Electron Device Lett., 2011, 通讯作者(24) Gap Filling for High AR features, CSTIC 2010, Invited Speech, 2010, 第 1 作者(25) High-performance silicon nanowire gate-all-around nMOSFETs fabricated on bulk substrate using CMOS-compatible process, IEEE Electron Devices Lett., 2010, 通讯作者
发表著作
(1) CMOS Past, Present and Future, ELSEVIER, 2018-04, 第 4 作者
科研活动
科研项目
( 1 )&nbsp16/14nm基础技术研究, 主持, 国家级, 2015-01--2018-01( 2 )&nbsp先导集成电路器件, 主持, 部委级, 2015-01--2018-01( 3 )&nbsp专利专项资金奖励, 主持, 研究所(学校), 2016-01--2017-01
参与会议
(1)Gap Filling for High AR features zhao chao 2010-03-12
指导学生
已指导学生柯星星 硕士研究生 080903-微电子学与固体电子学 方雯 博士研究生 080903-微电子学与固体电子学 邓坚 硕士研究生 430109-电子与通信工程 包琦龙 硕士研究生 430109-电子与通信工程 项金娟 博士研究生 080903-微电子学与固体电子学 杨杰 硕士研究生 080903-微电子学与固体电子学 陈文辉 博士研究生 080903-微电子学与固体电子学 包琦龙 博士研究生 080903-微电子学与固体电子学 李睿 硕士研究生 085208-电子与通信工程 马小龙 博士研究生 080903-微电子学与固体电子学 郭奕栾 硕士研究生 080903-微电子学与固体电子学 王桂磊 博士研究生 085271-电子与信息 段宁远 博士研究生 080903-微电子学与固体电子学 现指导学生罗雪 博士研究生 080903-微电子学与固体电子学 刘耀东 博士研究生 080903-微电子学与固体电子学 毛淑娟 博士研究生 080903-微电子学与固体电子学 刁华彬 硕士研究生 080903-微电子学与固体电子学 孟然哲 博士研究生 080903-微电子学与固体电子学 熊文娟 博士研究生 080903-微电子学与固体电子学 李彦如 博士研究生 080903-微电子学与固体电子学 赵磊 硕士研究生 080903-微电子学与固体电子学
2013 中国科学院大学,网络信息中心.